Presentation
19 March 2018 A progress report on DSA of high-chi silicon containing block co-polymers (Conference Presentation)
C. Grant Willson, Natsuko Ito, Gregory Blachut, Stephen Sirard, Yasunobu Someya, Jan Doise, Ryuta Mizuochi, Austin Lane, Geert Vandenberghe, Paulina Rincon-Delgadillo, XiaoMin Yang, Christopher Ellison
Author Affiliations +
Abstract
We have developed block co-polymers (BCPs) in which one of the blocks incorporates silicon and the other does not [1]. These materials provide access to BCPs with high Flory-Huggins interaction parameters (χ) and dry etch selectivity under reactive ion etching (RIE) conditions to provide Sub-20 nm patterns [2]. Recently we have investigated a hybrid chemo/grapho-epitaxy process that provides 20 nm and 10 nm full pitch patterning and we have transferred these patterns into useful substrates. This hybrid process produced 20 nm DSA with fewer defects with this material than the conventional chemo-epitaxial process. Cross-sectional scanning transmission electron microscopy (STEM) with electron energy loss spectroscopy (EELS) confirmed that the BCP features span the entire film thickness on hybrid process wafers [3]. We have now succeeded in demonstrating DSA with poly(4-methoxystyrene-block-4-trimethylsilylstyrene) (PMOST-b-PTMSS) aligned by guidelines comprised of cross linked poly(2-vinylpyridine) (Figure a). The process was demonstrated by cross-section analysis to produce features that span the entire BCP film thickness and the introduction of nitrogen into the guide line provides new evidence for the nature of the interaction between the guide lines and the BCP(Figure b). We have also reported the DSA and pattern transfer of poly(5-vinyl-1,3-benzodioxole-block-pentamethyldisilylstyrene) (PVBD-b-PDSS) at 10 nm full pitch. However, in this case, the DSA involved a trade-off between perpendicularity and dislocation defects [4]. Improved brush materials that selectively graft to an etched Cr surface rather than etched imprint resist provide oriented and aligned 5 nm line-and-space patterns that cleanly traverse the full film thickness thickness (Figure c). 1. Bates C. M., et al. Science (2012), 338 (6108), 775. 2. Azarnouchea, L., et al. J. Vac. Sci. Technol. B (2016) 34 (6), 061602/1-061602/10. 3. Blachut, G., et al. Chem. Mater (2016), 28 (24), 8951-8961. 4. Lane A. P., et al. ACS Nano (2017), 11 (8), 7656-i7665.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
C. Grant Willson, Natsuko Ito, Gregory Blachut, Stephen Sirard, Yasunobu Someya, Jan Doise, Ryuta Mizuochi, Austin Lane, Geert Vandenberghe, Paulina Rincon-Delgadillo, XiaoMin Yang, and Christopher Ellison "A progress report on DSA of high-chi silicon containing block co-polymers (Conference Presentation)", Proc. SPIE 10586, Advances in Patterning Materials and Processes XXXV, 105860O (19 March 2018); https://doi.org/10.1117/12.2299966
Advertisement
Advertisement
KEYWORDS
Silicon

Reactive ion etching

Scanning transmission electron microscopy

Chromium

Optical lithography

Semiconducting wafers

Spectroscopy

Back to Top