Presentation + Paper
12 October 2021 Exploration of alternative mask for 0.33NA EUV single patterning at pitch 28nm
Author Affiliations +
Abstract
Extending 0.33NA EUV single patterning to pitch 28nm will enable metal layers cost-efficiency and significantly shorter process flow for N2 node. At the same time, EUV single patterning becomes very challenging in terms of stochastic defectivity and process window. In this paper, the lithographic performance of the M1 layer of an imec N3 (foundry N2 equivalent) random logic layout is evaluated by means of source mask optimization on these three mask candidates: a standard binary Ta-based absorber mask, a high extinction (high-k) absorber mask and a low-n attenuated phase-shift mask. The impact of mask tonality (bright field vs. dark field) and insertion of sub-resolution assist features on pattern fidelity and process window is evaluated.
Conference Presentation
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Dongbo Xu, Werner Gillijns, Ling Ee Tan, Vicky Philipsen, and Ryoung-han Kim "Exploration of alternative mask for 0.33NA EUV single patterning at pitch 28nm", Proc. SPIE 11854, International Conference on Extreme Ultraviolet Lithography 2021, 118540T (12 October 2021); https://doi.org/10.1117/12.2599054
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
SRAF

Photomasks

Optical lithography

Source mask optimization

Extreme ultraviolet

Logic

Back to Top