Presentation + Paper
28 April 2023 Overview of stitching for high NA: imaging and overlay experimental and simulation results
Author Affiliations +
Abstract
An increased interest to stitching for High NA EUVL is observed; this is driven by expected higher demand of larger size chips for various applications. In the past a recommendation was published [1] to have 1-5 um band where no critical structures of a High NA layer would be allowed. In [2], we have introduced new insights on at-resolution stitching. In this publication, we present new experimental results obtained on NXE:3400B scanner. In the past we showed NXE feasibility results of vertical lines and contact holes stitching at relaxed resolution (40-48 nm pitch) in a single wafer location. In this study we evaluate stitching behavior through slit at more aggressive resolutions (P36 and P24 lines / spaces). We provide an overview of interactions in the stitching area such as aerial image interactions, absorber reflection, absorber to black border transition, black border vicinity impact and show corresponding experimental and simulations results. We formulate initial requirements for black border edge placement control and show performance of new masks. For stitching with low-n masks, we discuss using sub-resolution gratings to suppress the elevated mask reflectivity. We show rigorous simulations of stitched images, its sensitivity to overlay errors and propose mitigation mechanisms for OPC. Finally, an overview of stitching enablers will be described: from improved reticle black border position accuracy and absorber reflectivity control to mask resolution and OPC requirements.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Natalia Davydova, Lieve van Look, Vincent Wiaux, Joost Bekaert, Frank Timmermans, Eelco van Setten, Bram Slachter, Laura Huddleston, Claire van Lare, Rongkuo Zhao, Dezheng Sun, Ming-Chun Tien, Marcel Beckers, Simon van Gorp, Cees Lambregts, Chung-Tien Li, Arthur van de Nes, Koen D'Havé, Tatiana Kovalevich, Diederik de Bruin, Stephen Hsu, and Rene Carpaij "Overview of stitching for high NA: imaging and overlay experimental and simulation results", Proc. SPIE 12494, Optical and EUV Nanolithography XXXVI, 124940Q (28 April 2023); https://doi.org/10.1117/12.2658511
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Optical proximity correction

Critical dimension metrology

Reflection

Tantalum

Metrology

Reflectivity

RELATED CONTENT

ASML NXE pellicle update
Proceedings of SPIE (June 27 2019)
Experimental proximity matching of ArF scanners
Proceedings of SPIE (December 04 2008)
EUV mask stack optimization for enhanced imaging performance
Proceedings of SPIE (September 29 2010)
Aging study in advanced photomasks impact of EFM effects...
Proceedings of SPIE (September 24 2010)
CD-SEM suitability for CD metrology of modern photomasks
Proceedings of SPIE (August 25 1999)

Back to Top