Presentation + Paper
9 April 2024 Material and process optimization for EUV pattern rectification by DSA
Lander Verstraete, Hyo Seon Suh, Julie Van Bel, Byeong-U Bak, Seong Eun Kim, Remi Vallat, Philippe Bezard, Matteo Beggiato, Christophe Beral
Author Affiliations +
Abstract
Continuous scaling by extreme ultraviolet (EUV) lithography is tightening the patterning requirements for photoresist materials. Specifically, chemically amplified resists (CAR) are facing significant challenges to keep supporting the patterning needs. In view of this, complementing EUV lithography with directed self-assembly (DSA) of block copolymers offers interesting opportunities to enable the use of CAR towards ultimate resolution. As DSA decouples the resist patterning performance from the final pattern quality, roughness and defects in the resist pattern can be rectified. Here, we discuss the impact of material and process parameters on the rectification performance by DSA, both for line-space and hexagonal contact hole arrays.
Conference Presentation
© (2024) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Lander Verstraete, Hyo Seon Suh, Julie Van Bel, Byeong-U Bak, Seong Eun Kim, Remi Vallat, Philippe Bezard, Matteo Beggiato, and Christophe Beral "Material and process optimization for EUV pattern rectification by DSA", Proc. SPIE 12956, Novel Patterning Technologies 2024, 129560G (9 April 2024); https://doi.org/10.1117/12.3010817
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Block copolymers

Extreme ultraviolet

Directed self assembly

Annealing

Bridges

Extreme ultraviolet lithography

Polymethylmethacrylate

Back to Top