PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Polycycloolefins prepared by addition polymerization of norbornene derivatives are quite different from hydroxystyrene-based polymers in terms of their interaction with aqueous base. Their dissolution kinetics monitored on a quartz crystal microbalance is not a smooth function of the ratio of the polar to nonpolar functionalities in polymer but abruptly changes from very fast dissolution to massive swelling within a narrow range of composition. The maximum swelling is a function of thickness and the entire film thickness can swell in a few seconds at > 3,000 angstroms/sec or at immeasurably fast rates. The initial concentration of a pendant carboxylic acid in polymer has to be selected to minimize swelling and the concentration of an acid-labile group to induce fast dissolution in the exposed area. Furthermore, swelling which occurs in the partially- exposed regions must be minimized by incorporating a third monomer unit or by adding a dissolution modifying agent (DMA) such as t-butyl cholate. However, the function of DMA which is also acid-labile is quite complex; depending on the matrix polymer composition and its dissolution/swelling behavior, DMA could function as a swelling suppressor or promoter and a carboxylic acid generated by acidolysis of DMA as a dissolution or swelling promoter. Photochemically generated sulfonic acid could also affect the dissolution/swelling behavior. Base hydrolysis of anhydride during development is controlled by the polarity (carboxylic acid concentration) in polymer film, which has been demonstrated in an unequivocal fashion by IR spectroscopy under the condition strongly mimicking the development process and thus could boost development contrast but could hurt performance as well. Thus, incorporation of carboxylic acid in the form of methacrylic acid, for example, in radical copolymerization of norbornene with maleic anhydride must be handled carefully as it would increase the susceptibility of the anhydride hydrolysis and could introduce heterogeneity in the polymer as methacrylic acid is rapidly consumed, producing a terpolymer containing a different molar concentration of norbornene and maleic anhydride (a proof against the commonly believed charge transfer polymerization mechanism).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In our previous model, we have introduced a lot of t- butylcarboxylate group in matrix resin to achieve a high contrast and obtain a good lithographic performance. Most ArF photoresists having only t-butylcarboxylate group as a dissolution inhibitor have showed by far the inferior performance in a poor amine controlled environment. To overcome this problem, we greatly reduced the usage of t-butyl carboxylate group and increased the amount of HMEBC that contains both carboxylic acid group and alcohol group. And also, we newly introduced acid labile cross-linker for high contrast. Our novel resist exhibited an excellent lithographic performance without any protective top coating material, namely, a good PED (post exposure delay) stability, an improved CD (Critical Dimension) linearity, a proper sensitivity for process, and a good contrast. In addition, its synthetic yield is very high (>50%) and then it is cost- effective for mass production. 120 nm patterns were successfully defined at 13 mJ/cm2 by using a BIM (Binary Intensity Mask) with 2/3 annular (0.50/0.75(sigma) ).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report on the development and exploitation of a new type of chemically amplified resist for 193 nm microlithography. The approach has great versatility as it involves a general structure amenable to radical cyclopolymerization that contains easily interchanged ester functionalities. As the mechanism of polymerization involves free radicals, changes may be made either in the polymerization conditions or in the monomer feed to adjust variables such molecular weight or etch resistance. The latter property is favorably influenced by the formation of new ring structures during polymerization. Variations in the nature of the ester moieties contained in the monomer area easily accomplished to modify the imaging characteristics, surface properties, or etch resistance of the polymers. We report the preparation of a number of novel polymer and copolymer structures and their preliminary testing as resist candidates for 193 nm lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
193 nm photoresists on the market today can be classified into three different chemical platforms. The first platform involves acrylate type polymers, the second one cycloolefin- maleic anhydride (COMA) type polymers, and the third one a mixture of both. In this paper, we present a complete review of the lithographic performances at the 130 nm node, for 10 different commercially photoresists, coming from the three different chemical platforms. The results include various criteria: linear resolution, depth of focus, dose latitude, proximity bias and edge roughness for 130 nm lines (various pitches from isolated to 1:1 dense), depth of focus for 100 nm isolated lines, depth of focus and dose latitude for 140 nm contact holes, PEB temperature sensitivity (CD variation vs PEB temperature), thermal stability (post development bake stability), exposure -- PEB delay stability. Also, pattern collapse tendency and etch selectivity to both polysilicon and SiO2 are presented. We then correlate some of these results to the thermal properties (glass transition and decomposition temperatures) of the materials. We finally conclude about the pros and cons of each chemical platform for achieving the 130 nm node requirements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new class of photoresist matrix polymers based on vinyl ether-maleic anhydride (VEMA) alternating copolymers were developed for ArF single-layer lithography. These polymers were synthesized by copolymerization of alkyl vinyl ether- maleic anhydride alternating copolymers and acrylate derivatives with bulky alicyclic acid-labile protecting groups. They showed a good controllability of polymerization and high transmittance. Also, these resists showed a good adhesion to the substrate, high dry-etching resistance against CF4 mixture gas (1.02 times the etching rate of DUV resist) and high selectivity to silicon oxide etching. Using an ArF excimer laser exposure system with 0.6 NA, 120 nm L/S patterns have been resolved under conventional illumination.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A great deal of progress has been made in the design of single layer positive tone resists for 193 nm lithography. Commercial samples of such materials are now available from many vendors. The patterning of certain levels of devices profits from the use of negative tone resists. There have been several reports of work directed toward the design of negative tones resists for 193 nm exposure but, none have performed as well as the positive tone systems. Polymers with alicyclic structures in the backbone have emerged as excellent platforms from which to design positive tone resists for 193 nm exposure. We now report the adaptation of this class of polymers to the design of high performance negative tone 193 nm resists. New systems have been prepared that are based on a polarity switch mechanism for modulation of the dissolution rate. The systems are based on a polar, alicyclic polymer backbone that includes a monomer bearing a glycol pendant group that undergoes the acid catalyzed pinacol rearrangement upon exposure and bake to produce the corresponding less polar ketone. This monomer was copolymerized with maleic anhydride and a norbornene bearing a bis-trifluoromethylcarbinol. The rearrangement of the copolymer was monitored by FT-IR as a function of temperature. The synthesis of the norbornene monomers will be presented together with characterization of copolymers of these monomers with maleic anhydride. The lithographic performance of the new resist system will also be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The constant reduction in critical dimensions required for new device generations and the use of sub-wavelength lithography impact the quality of the aerial image transferred into the resist layer. As an example, the intrinsic bias between isolated and dense features in the aerial image is becoming more and more significant, requiring better performance from the resist process to cancel this effect. This work investigates the process mechanisms leading to Iso-Dense bias (I-D bias) reduction for two 193 nm methacrylate based resists at constant optical settings, as a function of PEB temperature. In both cases, it has been possible to find optimized process conditions leading to reduced I-D bias values, but it appears that the leading mechanisms involved during PEB are different and do not seem equivalent in terms of resist capabilities. Reaction controlled resists, which work with a Diffusion Well effect during PEB, that is a high diffusion contrast between exposed and unexposed areas, allow I-D bias compensation without degrading resolution performance. On the contrary, diffusion controlled resists, which usually require high Post Exposure Bake (PEB) temperature to thermally boost the deprotection reaction, do not keep a high diffusion contrast between exposed and unexposed areas during PEB. Consequently, for these resists, best process conditions for I-D bias reduction do not correspond to the optimized process conditions for other resist performance, such as resolution and DOF. In this paper, the two different mechanisms which drives the acid catalyzed deprotection during the Post Exposure Bake step have been studied using different characterization techniques (modulated Temperature DSC, Dielectric analysis, in-situ Ellipsometry) and process performance has been correlated with 193 nm resist component properties (Polymer matrix, protecting groups or PAG characteristics).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the objective to make smaller device structures at a given illumination wavelength the semiconductor industry is more and more trying to implement Phase-Shifting Masks (PSMs) as resolution enhancement technique for DUV lithography. However, with positive photoresists there is a phase edge problem. Using negative resists is the easiest approach to solve the phase edge problem. This is one of the reasons why negative resists are becoming more and more attractive for leading edge lithography. Therefore, we are developing a novel negative resist with 248/193 nm crossover capability. Most experiments were done in imitation of the CARLR bilayer resist process. The goal was to use established resist techniques and polymer materials, and just to change the generators and additives to get tone reversal. Using a photoacid generator (PAG) as additive leads to positive tone. In contrary with a photobase generator (PBG) and thermoacid generator (TAG) combination in a negative tone behavior is observed. Comprehensively, this blending concept allows the use of similar working polymers in both, positive and negative resists. The generator efficiencies were studied as well as the diffusion behavior of resist components during resist processing. Especially, process factors like baking conditions were investigated with the objective to control diffusion and limit resist outgassing in a high activation energy resist platform. Furthermore, in adaptation of the CARLR process, a separate liquid silylation step was integrated and investigated for various process conditions. In our paper we will discuss the characteristics and the lithographic capabilities of the novel methacrylate based negative resists. First promising results are based on DUV (248/193 nm) and ebeam exposures. Recent results with our positive version indicate the same outstanding possibilities. We expect a similar performance for the negative pendant in the near future.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Solid-state carbon NMR with cross polarization and magic-angle spinning has been used to study the chain dynamics and length scale of mixing in resist formulations of norbornene-maleic anhydride copolymers for 193 nm lithography. Two-dimensional wide line separation NMR has been used to measure the chain dynamics via the indirectly detected proton line shapes. The results show that the polymers do not experience large amplitude atomic fluctuations at the high temperatures (155 degrees Celsius) currently used for resist processing. Additional NMR experiments using proton spin diffusion demonstrate that the polymers and dissolution inhibitors are mixed on a molecular length scale.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The lithographic performance and environmental stability of 193 nm chemically amplified resists based on norbornene t- butyl ester/maleic anhydride copolymer were investigated. Tert-butyl (t-Bu) cholate was used as a plasticizing additive to control the glass transition temperatures (Tg) of the resists. The resist softening temperature was decreased from > 180 degrees Celsius to 150 degrees Celsius by the addition of t-Bu cholate. The resist sensitivity and resolution were almost unchanged, when post-exposure bake (PEB) temperatures were below the resist softening temperature. High environmental stability of 1 hour was achieved by optimizing the resist softening temperature, because high temperature baking at around the resist softening temperature reduced the amount of residual solvent, and thus made the resist absorb basic airborne contaminants less readily. The environmental stability of the optimized resist was almost the same as that of 248 nm acetal type resist, and it was sufficient for practical use.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The fundamental nature of the interactions between poly (norbornene-alt-maleic anhydride) based resins and cholate based dissolution inhibitors (DIs) were studied by FT-IR and NMR spectroscopy. We also studied the role that photo-acid generators (PAGs) play in the dissolution of these polymer resins. We attempted to disentangle the hydrophobic effects of the DIs and PAGs from the specific interactions (Van der Waal's, hydrogen bonding or ionic) that these materials have within the polymer system. We employed solubility studies of DIs and PAGs using cloud point determinations and dissolution inhibition experiments on spun films to determine the extent that hydrophobicity and polymer interactions play in development. We found that for simple cholate derivatives the interactions with maleic anhydride repeating units parallel the dissolution inhibition sequence (t-butyllithocholate > t-butyldeoxycholate > t-butylcholate). For a wider range of cholate derivatives, the cloud point in water is a useful predictive tool, in that it takes into account both the hydrophobicity and the propensity to interact with other moieties. Thus for these materials, dissolution inhibition is governed by both interactions between the DI and the polymer, and the hydrophobic nature of the DIs. In contrast, for the PAGs, the cloud point is not a good predictive tool for dissolution inhibition. We found for onium salt materials, that the extent of dissolution inhibition is predicated by the size of the anion. For example, large sterically hindered anions which give rise to PAGs that exhibit poor solubility in water, paradoxically also show poor dissolution inhibition. This may be because the steric hinderance disfavors interactions with the polymer matrix.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have found that the progress of developer base into films of terpolymers of norbornene (NB)-maleic anhydride (MA) and acrylic acid (AA) is a percolation process with a critical site concentration of x(c) equals 0.084 which suggests that every acrylic acid site in the terpolymer of norbornene-maleic anhydride-acrylic acid can make 12 monomer units of the polymer water compatible. In practice these systems are being used with various tert-butyl esters of cholic acid as dissolution inhibitors. The cholates differ very much in their dissolution inhibition factors (lowest t-butyl cholate (1.3) to highest t-butyl lithocholate glutarate dimer (7.4). The change in these factors corrected for molarity follow the hydrophobic character of the dissolution as measured by log(p). A quick screening method has also been established to evaluate dissolution inhibitors based on our observation that the cloud point (the volume % acetone in a water/acetone which gives persistent cloudiness) parallels the dissolution inhibiting power as measured by the dissolution inhibition factor. For dissolution promotion, optimal results are obtained with t-butyl 1,3,5-cyclohexanetricarboxylate (f equals -6.3) and poorest results with t-butyl lithocholate (f equals -2.8); this appears to track with the number of carboxyl groups and the hydrophobicity of the carboxylic acids. The Rmax found for resist formulations tracks well with these findings. Another factor in determining the ultimate achievable contrast is the degree of acidolytic deprotection achieved by the material. It appears that acidolyticaly cleaveable carboxylate esters with a higher concentration of electron withdrawing groups such as t-butyl 1,3,5-cyclohexanetricarboxylate are more effective.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A special class of post-development defects, referred as Circular Surface Defects (CSDs), has been reported. Up to now, no resist is immune to CSD printing, including eight commercial KrF resists (from two vendors) and six early samples of ArF resists (from five vendors). An extensive study on the CSDs was conducted on a KrF Resist A, in term of its origin, formation and removal mechanism. Photoacid generators (PAGs) are proved to be a primary contributor to the CSDs. The origin of CSDs is believed to be PAG aggregation along with other hydrophobic components, resulting in formation of microemulsions in the developer. The aggregates have limited solubility in aqueous base developer, and could redeposit on the wafer surface during development. We propose one major defect removal mechanism, or 'Stripping' mechanism. This mechanism is related to resist film thickness loss, which aids in stripping potential defects from the resist surface, or reducing the probability of defect deposition.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ultimate feature size achievable using a chemically amplified resist is determined by chemical and physical processes occurring during the post-exposure bake process. Using a combined experimental-modelling procedure we previously have developed a physically accurate, predictive description of coupled deprotection and diffusion in poly(p- tert-butyloxycar-bonyloxystyrene) (PTBOCST) resist containing a diaryliodonium perfluorobutanesulfonate salt as photoacid generator (PAG). In the present work we extend that study to quantify the impact of anion size and of added base on resist reaction diffusion kinetics. Our results show that both short and long range mobility of the PAG anion influence image spreading; the small triflate counterion leads to acid diffusion larger by a factor of 9 - 70 than that observed with the larger perfluoro-butanesulfonate counterion. The addition of tetra-n-butylammonium hydroxide leads to an overall suppression of image spreading in the exposed resist. This effect can be analyzed quantitatively using a proportional neutralization model, which reveals that base addition can lead to an overall sharpening of the developable latent image of deprotection even in the absence of acid diffusion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Julie L. Jessop, Scott N. Goldie, Alec B. Scranton, Gary J. Blanchard, Bharath Rangarajan, Uzodinma Okoroanyanwu, Ramkumar Subramanian, Michael K. Templeton
State-of-the-art microlithographic processes used to make features smaller than 0.25 microns are based upon deep-UV lithography and chemically amplified resists (CARs). In these resists, photoacid generated during exposure initiates cascading deprotection reactions during post exposure bake (PEB) to form a developable image. Reaction may not be limited to the illuminated areas since the photo-generated protons may diffuse outside this region; therefore, it is important to understand the diffusional characteristics of the photoacid. In this contribution, macroscopic free volume changes in the photoresist film were studied using multi-wavelength interferometry, and acid mobility and concentration during PEB were studied using crystal violet. This probe was added to the Shipley Apex-E 2408 DUV photoresist, and measurements were carried out in situ on quartz substrates. Crystal violet is a triphenylmethane 'propeller' molecule whose excited-state lifetime increases as the local free volume decreases, and molecular rotation is hindered. This feature can be used to characterize the free volume in the photoresist using ground- state recovery experiments. In addition, crystal violet has three protolytic forms, each with a unique absorption spectrum, and a calibration of the absorption spectrum as a function of acid concentration was used to measure the acid concentration during PEB. These studies illustrate the utility of spectroscopic techniques to characterize CARs in situ.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Post exposure bake (PEB) models in the STORM program have been extended to study pattern formation in 193 nm chemically amplified resists. Applications to resists formulated with cycloolefin-maleic anhydride copolymers, cholate based dissolution inhibitor, nonaflate photoacid generator and base quencher are presented. The PEB modeling is based on the chemical and physical mechanisms including the thermally induced deprotection reaction, acid loss due to base neutralization and protected-sites-enhanced acid diffusion. Simplifying assumptions are made to derive analytical expressions for PEB. The model parameters are extracted from the following experiments. UV-visible spectroscopy is used to extract the resist absorbance parameters. The generation of acid is monitored using the method of 'base additions.' The extent of deprotection that occurs during the bake is determined by monitoring the characteristic FTIR absorbance band around 1170 cm-1 over a range of exposure doses and bake temperatures. Diffusion parameters are extracted from line end shortening (LES) measurements. These parameters are optimized using the Method of Feasible Directions algorithm. Application results show good agreement with experimental data for different LES features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The analysis of vapors desorbing from resist films during processing provides important information on impurity content, loss of resist components, and available decomposition pathways that complements data obtained by film composition studies. We have constructed an instrument for in-situ sampling and identification of volatiles from both bulk polymers and cast films by tandem mass spectrometry. We have used this instrument to identify volatile products from thermal and acid catalyzed deprotection of p-(t- butyloxycarbonyloxy)styrene (PTBOCST) to form p-hydroxystyrene (PHOST). The results show that the two reaction pathways have markedly different chemistry, and that the generally accepted deprotection mechanism oversimplifies what is actually happening in the film.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemically amplified deep UV (CA-DUV) positive resists are the enabling materials for manufacture of devices at and below 0.18 micrometer design rules in the semiconductor industry. CA-DUV resists are typically based on a combination of an acid labile polymer and a photoacid generator (PAG). Upon UV exposure, a catalytic amount of a strong Bronsted acid is released and is subsequently used in a post-exposure bake step to deprotect the acid labile polymer. Deprotection transforms the acid labile polymer into a base soluble polymer and ultimately enables positive tone image development in dilute aqueous base. As CA-DUV resist systems continue to mature and are used in increasingly demanding situations, it is critical to develop a fundamental understanding of how robust these materials are. One of the most important factors to quantify is how much acid is photogenerated in these systems at key exposure doses. For the purpose of quantifying photoacid generation several methods have been devised. These include spectrophotometric methods, ion conductivity methods and most recently an acid-base type titration similar to the standard addition method. This paper compares many of these techniques. First, comparisons between the most commonly used acid sensitive dye, tetrabromophenol blue sodium salt (TBPB) and a less common acid sensitive dye, Rhodamine B base (RB) are made in several resist systems. Second, the novel acid-base type titration based on the standard addition method is compared to the spectrophotometric titration method. During these studies, the make up of the resist system is probed as follows: the photoacid generator and resist additives are varied to understand the impact of each of these resist components on the acid generation process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The difference in photochemistry and radiation chemistry of sulfonium salt acid generator was investigated by product analysis and time resolved spectroscopic methods for chemically amplified resist application. After KrF excimer laser and electron beam irradiation of sulfonium salt, yields of decomposed products including acid were determined. The ultra fast in-cage reactions after laser irradiation were directly observed by the femtosecond laser flash photolysis method. Intermediates after electron beam irradiation were observed by nanosecond electron beam pulse radiolysis. From both the product analysis and time resolved spectroscopic methods, the contribution of each reaction pathway to acid generation was determined.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Influence of different functional groups on dissolution behavior of resist resins based on charge-transfer polymerization of cycloolefins with maleic anhydride was studied. tertButyl carboxylate moiety was used in all materials as an imaging group. Two approaches were identified for increase in the dissolution rate of totally deprotected polymers (Rmax). First, the Rmax value can be modified by changing the total amount of tertbutyl-protected and unprotected carboxylate moieties in the polymer. On the other hand, Rmax can be improved by introducing the base- hydrolyzable functionalities, such as a formate esters, into the polymer chain. It was established that such polymers are stable to hydrolysis in hydrophobic matrix but undergo quick hydrolysis in hydrophilic film. For example, a polymer in which the cycloolefin moiety has a formate side group displayed dissolution rate of approximately 10,000 angstrom/sec while an analogous polymer in which the cycloolefin moiety does not have a formate group showed a dissolution rate of approximately 500 angstrom/sec.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Cycloolefin/maleic anhydride systems are a favorable approach to dry etch resistant resists for 193 nm lithography. This paper reports on poly(BNC/HNC/NC/MA) tetrapolymers, from t- butylnorbornene carboxylate (BNC), hydroxyethyl-norbornene carboxylate (HNC), norbornene carboxylic acid (NC) and maleic anhydride (MA). It was found that moisture has to be excluded in the synthesis of these systems if reproducible results are to be obtained. Lithographic evaluation of an optimized, modified polymer has shown linear isolated line resolution down to 100 nm using conventional 193 nm illumination. Possible reactions of the alcohol and anhydride moieties are discussed, and the effect of the anhydride unit on polymer absorbance is discussed using succinnic anhydride as a model compound.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Material origin of resist line edge roughness (LER) in positive-tone chemically amplified resists has been investigated by designing experiments to mimic the composition and the morphology of the resists in the line edge regions where the resist consists of both the protected polymer and its de-protected counterparts. Blends of the protected and the de-protected base polymers for two silicon containing, positive-tone chemically amplified resists were prepared. Morphology and surface roughness of thin films of the polymer blends were probed with atomic force microscope (AFM). AFM results clearly showed that the protected polymer and its de- protected counterparts form distinct phase separated morphology after spin coating and baking. This phase separation leads to surface roughening of the blend films. Furthermore, the surface roughness of the blend films is enhanced after development with an aqueous TMAH developer. These results suggest that the material origin of resist LER in positive-tone chemically amplified resists stems from the compositional heterogeneity due to phase incompatibility of the protected base polymer and its de-protected counterparts in the line edge regions. The effects of blend composition, the extent of de-protection, and processing conditions on the morphology and surface roughness will be presented. The implications of these findings for high-resolution resist design will also be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Line-edge roughness (LER) has been identified to cause variation in critical dimension that affects the fidelity of pattern transfer and results in greater variation in device electrical performance. In present study, the effects of aerial image quality and resist processing parameters on the severity of LER are studied. Two chemically amplified resists (CARs) with both acetal and ESCAP-type protection groups are tested and compared. It is found that the image-log-slope (ILS) at pattern edge and the resist contrast are the two major factors affecting the magnitude of LER. The ILS is alterable by pattern density, pattern width, defocus conditions and the application of PSM. On the other hand, the shape and slope of the contrast curve are dependent on both soft bake and post-exposure bake temperature. Due to the finite contrast of resist, solubility change occurs across some dose interval. This corresponds to a boundary width at the aerial image that dictates the transition zone across the pattern edge. As the boundary width region is associated with higher roughness film, smaller boundary width could be translated to better LER. Nevertheless, a three-step development model is used to explain the dependence of LER on pattern density. Basically the discrepancy is due to differential progress of the development front at different ILS when developing time is fixed. In addition, changing the shape and slope of the resist contrast curve through different processing routes could directly modify the boundary width and therefore the LER. The attributes causing different LER performances of the two resists are also discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithographic performance and line-edge roughness (LER) of several experimental high activation energy resists containing hydroxystyrene-co-styrene-co-t-butylmethacrylate terpolymers have been determined as a function of illumination conditions, polymer, photoacid generator and quencher composition, as well as process variations. Important lithographic properties, such as iso/dense bias, exposure latitude, may deteriorate upon minimization of LER. LER is largely affected by the changes of the optical settings, while material and process influences are less pronounced.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Aerial image contrast dependence of line edge roughness (LER) in 130 nm equal line and space resist patterns was investigated using chemically amplified resists on organic bottom antireflective coatings both for KrF imaging and for ArF imaging. The chemically amplified ArF resist exhibiting high transparency both at 248 nm and at 193 nm was found to resolve 130 nm equal line and space resist patterns both on the KrF imaging systems and on the ArF imaging system using an identical binary mask set. Average roughness measurement data derived from top-down scanning electron microscopic images of the 130 nm equal line and space resist patterns indicated that the LER decreased with increasing the aerial image contrast, varying with the wavelengths of illumination light sources and the illumination conditions. It was, however, found that the LER in the chemically amplified resist optimized for the ArF imaging system was 1.9 - 2.3 times larger than those in the chemically amplified resists optimized for the KrF imaging systems, in spite of the fact that the aerial image contrast of the ArF imaging system was 1.5 - 2.5 times higher than those of the KrF imaging systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Top surface imaging (TSI) systems based on vapor phase silylation have been investigated for use at a variety of wavelengths. This approach to generating high aspect ratio, high resolution images held great promise particularly for 193 nm and EUV lithography applications. Several 193 nm TSI systems have been described that produce very high resolution (low k factor) images with wide process latitude. However, because of the line edge roughness associated with the final images, TSI systems have fallen from favor. In fact, top surface imaging and line edge roughness have become synonymous in the minds of most. Most of the 193 nm TSI systems are based on poly(p-hydroxystyrene) resins. These polymers have an unfortunate combination of properties that limit their utility in this application. These limiting properties include (1) High optical density (2) Poor silylation contrast (3) Low glass transition temperature of the silylated material. These shortcomings are related to inherent polymer characteristics and are responsible for the pronounced line edge roughness in the poly(p-hydroxystyrene) systems. We have synthesized certain alicyclic polymers that have higher transparency and higher glass transition temperatures. Using these polymers, we have demonstrated the ability to print high resolution features with very smooth sidewalls. This paper will describe the synthesis and characterization of the polymers and their application to top surface imaging at 193 nm. Additionally, it will describe the analysis that was used to tailor the processing and the polymer's physical properties to achieve optimum imaging.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The impact of airborne basic molecular contamination (MB) on the performance of chemically amplified (CA) resist systems has been a long standing problem. Low ppb levels of MB may be sufficient for robust 0.25 micrometer lithography with today's advanced CA resist systems combined with adequate chemical air filtration. However, with minimum CD targets heading below 150 nm, the introduction of new resist chemistries for Next Generation Lithography, and the trend towards thinner resists, the impact of MB at low and sub-ppb levels again becomes a critical manufacturing issue. Maximizing process control at aggressive feature sizes requires that the level of MB be maintained below a certain limit, which depends on such parameters as the sensitivity of the CA resist, the type of production tools, product mix, and process characteristics. Three approaches have been identified to reduce the susceptibility of CA resists to MB: effective chemical air filtration, modifications to resist chemistry/processing and cleanroom protocols involving MB monitoring and removal of MB sources from the fab. The final MB concentration depends on the effectiveness of filtration resources and on the total pollution originating from different sources in and out of the cleanroom. There are many well-documented sources of MB. Among these are: ambient air; polluted exhaust from other manufacturing areas re-entering the cleanroom through make-up air handlers; manufacturing process chemicals containing volatile molecular bases; certain cleanroom construction materials, such as paint and ceiling tiles; and volatile, humidifier system boiler additives (corrosion inhibitors), such as morpholine, cyclohexylamine, and dimethylaminoethanol. However, there is also an indeterminate number of other 'hidden' pollution sources, which are neither obvious nor well-documented. None of these sources are new, but they had little impact on earlier semiconductor manufacturing processes because the contamination levels are low enough that they were tolerable. The purpose of this article is to investigate some of these frequently overlooked sources of basic molecular contamination and to thereby increase the reader's awareness of their potential risks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Through the use of an optimized 248 nm optical lithography process and an in-situ resist trimming step developed as part of the gate layer etch, we have been able to fabricate 0.12 micron logic gates with acceptable manufacturing process latitudes. The resist trimming step is performed just prior to etching the SiON anti-reflective coating layer. Because the trimming step is done in-situ as part of the gate etch process, the impact on throughput is minimal. The resist trimming process allows the printing of features larger than the target width, increasing the photolithography process latitude by allowing the process to be run at the most optimal conditions. The trimming step also reduces the line edge roughness which is commonly seen for many chemically amplified photoresists. Photolithography process latitudes with and without trimming are compared for on-wafer dimensions of 0.15 and 0.12 micron. The effect of the trimming step on intrawafer critical dimension control is quantified, and electrical performance of the transistors is presented. The extendibility of this technique for 0.10 micron features is presented. Empirical results are compared to PROLITH simulations, and results of a feasibility study for 193 nm lithography are included.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thermal flow process using a novel resist called the SMART (SaMsung Advanced Resist for Thermal flow process) was studied. The SMART consists of the conventional polyhydroxystyrene-based polymers and the additives inducing thermal cross-linking reactions with the base polymers. With the SMART resist, 240 nm contact holes were defined by KrF lithography system. Then following one-step thermal flow resulted in down to 90 nm contact holes with vertical sidewall profile. At 90 nm resolution, the critical dimension (CD) variation on 200 mm wafer was less than 20 nm. Its etch selectivity to silicon oxide was improved due to the cross- linking reaction. The main feature of the SMART is one step process having the linear dependency of flow rate on baking temperature. The flow amount can be controlled within the range of 100 - 150 nm without any significant pattern deformation. The thermal flow process using the SMART is a promising candidate for the fabrication of gigabit devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In our attempts to develop etch resistance 248 nm positive resists, we have designed and synthesized thermally stable and acid sensitive methylbenzyl ether (MBE) protected poly(hydroxystyrene) derivatives. Results presented in this paper clearly illustrate that the MBE protecting group provides superior etch resistance to conventional carbonate, ester and acetal/ketal based protecting groups. It is also shown that the MBE protecting group is thermally stable and undergoes acid catalyzed deprotection leading to preferential rearrangement products due to electrophilic ring substitution. Such a rearrangement is shown to provide a unique mechanism to reduce/eliminate resist shrinkage and improve lithographic performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithography at 157 nm represents the next evolutionary step in the Great Optical Continuum and is currently under investigation as a possible successor to 193-nm lithography. If successful, the photoresists used for this technology must be initially capable of 100-nm resolution and be extendable to less than 70 nm. Unfortunately, as with the transition to shorter wavelengths in the past, the photoresist materials developed for longer wavelengths appear to be too absorbent for practical use as a traditional high resolution single layer resist imageable with 157 nm radiation. Until new photoresist materials are developed that are sufficiently transparent to be used as single layer resists, the existing need for a resist to be used to evaluate 157 nm imaging systems, such as the prototype steppers now under development, will have to be met by employing existing resists. We have surveyed the commercial resist market with the dual purpose of identifying the general categories of commercial resists that have potential for use as tool evaluation resist and to baseline these resists for comparison against future 157 nm resist candidates. Little difference was observed in the 157- nm absorbance between different classes of resists with most resists having an absorbance between 6 and 8 per micron. Due to the high absorbance at 157 nm of polyhydroxystyrene, polyacrylate, and polycyclic copolymer based resists, the coated resist thickness will need to be under 100 nm. All four commercial resists evaluated for imaging at 157 nm showed that they are capable of acting as a tool testing resist to identify issues attributed focus, illumination, and vibration. Finally, an improved tool testing resist can be developed within the existing resist material base, that is capable of 100 nm imaging with a binary mask and 70 nm imaging with a phase shift mask. Minor formulation modification can greatly improve resist performance including improved resolution and reduced line edge roughness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigated characteristics of resists consisting of well- known resist polymers by the F2 excimer laser exposure. Negative-resist behavior due to crosslinking or decarboxylation was observed for poly(p-hydroxystyrene) based resists, polysilsesquioxane based resists and polynorbornenecarboxylate based resists. On the other hand, polymethacrylate based resists indicated a clear positive- resist behavior with high contrast without crosslinking. Therefore we studied further details of the characteristics of resists consisting of photodecomposable polymers such as methacrylate polymers and found methacrylate polymers bearing alicyclic groups to be resist with high dissolution contrast and little outgassing. The 200 nm L/S pattern with vertical profile at 1000 angstrom thickness was obtained by F2 excimer laser contact exposure in spite of poor transmittance of 30% per 1000 angstrom thickness. It was showed that the resolution by a 157 nm scanner with the lens of NA0.7/(sigma) 0.7 was 70 nm L/S and 40 nm iso-line at 1000 angstrom thickness by PROLITH/3D lithography simulator using experimental parameters.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The design of an organic material satisfying all of the requirements for a single layer photolithography resist at 157 nm is a formidable challenge. All known resists used for optical lithography at 193 nm or longer wavelengths are too highly absorbing at 157 nm to be used at film thicknesses greater than approximately 90 nm. Our goal has been to identify potential, new photoresist platforms that have good transparency at 157 nm (thickness normalized absorbance of 2.5 micrometer-1 or less), acceptable plasma etch resistance, high Tg and compatibility with conventional 0.26 N tetramethylammonium hydroxide developers. We have been investigating partially fluorinated resins and copolymers containing transparent acidic groups as potential 157 nm photoresist binders; a variety of material with promising initial sets of properties (transparency, etch resistance, solubility in aqueous TMAH) have been identified. Balancing these properties with imaging performance, however, remains a significant challenge.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Finding materials that offer the all of the characteristics required of photoresist matrix resin polymers while trying to maintain a high level of transparency at 157 nm is a daunting challenge. To simplify this task, we have broken the design of these polymers down into subunits, each of which is responsible for a required function in the final material. In addition, we have begun collecting gas-phase VUV spectra of these potential subunits to measure their individual absorbance contributions. Progress on developing materials for each of these subunits are presented along with plans for future studies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The theoretical design of transparent materials for use as photoresist materials for F2 lithography (157 nm) requires molecular orbital calculations of the photoabsorption of molecules in the vacuum ultraviolet (VUV) region. To predict photoabsorption, this study employed ab initio molecular orbital calculations at the level of single-excitation configuration interaction (CIS) combined with an empirical correction to the calculated peak position. This method was used to calculate the photoabsorption spectra of various functional groups, such as alcohol, ether, ketone, carboxylic acid and ester groups, as well as several polymers and alicyclic groups. On the basis of the calculation results, the applicability of these functional groups to photoresists for F2 lithography is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV, X Ray, VUV: Materials and Fundamental Studies
The approach toward the enhancement of the resist sensitivity was investigated by introducing the radical chain reactions into the acid generation processes. The acid yields of various ionic and nonionic acid generators in some solvents and films were examined to search the most efficient system of the radical chain acid proliferation reactions. The acid proliferation was discussed using Gibbs free energy change of the electron transfer reactions in the chain reactions. The most efficient system to realize the chain reactions was the combination of iodonium salt acid generator and secondary alcohol acid amplifiers. In acrylic polymer resists containing the iodonium salt and the alcohol compounds, resist sensitivity was enhanced in electron beam lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A system for photochemical analysis of F2-excimer laser lithography processes has been developed. The system, VUVES- 4500, consists of 3 units: (1) an exposure and bake unit that uses the F2-excimer laser to carry out a flood exposure and then post-exposure bake (PEB) of a resist coated wafer, (2) a unit for the measurement of development rate of photoresists, and (3) a simulation unit that utilizes PROLITH to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of F2 excimer laser lithography can be performed without a lithography tool that is capable of imaging and alignment. Profiles for 100 nm lines are simulated for the PAR-101 resist (manufactured by Sumitomo Chemical) and the SAL-601 resist (manufactured by Shipley), a chemically amplified resist that has sensitivity at the F2 excimer laser wavelength. The simulation successfully predicts the resist behavior. Thus, it is confirmed that the system enables efficient evaluation of the performance of F2 excimer laser lithography processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Until recently, pattern transfer of 100 nm-scale features using extreme ultraviolet lithography (EUVL) was accomplished by using an intermediate hard mask material such as silicon oxide or silicon oxynitride. In this experiment, a single layer of deep-UV photoresist, 175 nm thick, was patterned using Sandia's 10x-Microstepper EUV imaging system. The pattern was subsequently transferred into an underlying polysilicon layer, 300 nm thick, using a reactive ion etch process. Cross-sectional and top-down scanning electron microscopy analysis was performed to evaluate the etch process and determine sidewall angle and the etch selectivity between polysilicon and the photoresist, and were found to be > 85 degrees and approximately 5:1, respectively.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The present paper describes mechanisms of photo- and radiation induced reactions in silicon based resist materials: polysilanes with Si-branchings and Si-H bondings, as a candidate for EUV and X-ray resist materials. Polysilanes have been previously confirmed to show positive-type resist properties for UV light, electron beams (EB), X-rays, etc. at any conditions. However the cross-linking reaction of the polymer became dominant in the polysilane with Si-branchings upon irradiation to UV light, EB, and ion beams. The efficiency of the cross-linking reaction strongly depended on the ratio of Si-branching giving polymer gels in the polysilane with higher amount of Si-branching than 5% even for (gamma) -ray irradiation. Polyhydrosilanes containing vinyl groups revealed to cause efficient cross-linking reactions with the presence of catalysts for hydrosilylation upon exposure to deep UV or X-rays, leading to high-sensitive negative resist materials for EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The positive photoresist is assumed to be transferred, via intermediate gel state, from the resist to the developer solution. A mechanism for the development of positive photoresist is proposed to derive a development rate equation considering gel layer formation. This new model using the concept of gel layer can better fit recent experimental dissolution rate data exhibiting a notch shape which is critical to resist performance. The model parameters are obtained by fitting measured dissolution data using the least square method. The variation of gel layer thickness during dissolution is well explained with the model.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thick photoresist films continue to play an important role in many areas such as micromachining, magnetic recording heads, or wafer bumping. The composition of the solid film is critical in achieving the high performance of the thick resist needed at the very high aspect ratios (> 10) which are being phased in by today's production lines. Dissolution as well as optical properties of thick resist films are affected by resist drying and by the PAC decomposition process at higher temperatures. In our study we determine the residual solvent in the film as a function of film thickness and soft bake conditions for AZRP4620 resist, AZR9260 resist, and the new ultrahigh viscosity AZREXP PLPTM100XT resist at film thickness between 10 and 40 micrometer. Lithographic performance is compared for different levels of residual solvent and PAC decomposition. A direct determination of the solvent content by gas chromatography is correlated with results obtained by FT-IR measurements. It is found that determination of solvent content by FT-IR measurements is possible but that the FT-IR signal is convoluted with that of a PAC decomposition product. PAC decomposition itself is also monitored by the C equals N2 FT-IR absorbance, and PAC decomposition kinetics are analyzed to give the kinetic parameters.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The number of lithographic applications that require the use of photosensitive polyimides is rapidly increasing. The major applications for photosensitive polyimides include flip chip bumping, advanced packaging, passivation stress buffer relief and interlevel dielectric films. The thickness requirements for these applications can vary from less than 1 micron to more than 20 microns. For processing simplicity and total cost of ownership, it is desirable to use an aqueous developable polyimide to maintain compatibility with standard photoresist processes. Optical steppers offer significant advantages for processing thick photosensitive polyimides due to the tighter overlay and improved critical dimension (CD) control possible with these lithography tools versus contact printers or full wafer scanners. A stepper has an additional advantage with thick polyimide structures since the focus can be adjusted at various levels into the film, which will result in improved wall angles and enhanced aspect ratios. For this study the performance of a commercially available, positive acting, aqueous developable polyimide is examined over a range of thicknesses using a novel broadband exposure system. This stepper exposes photosensitive films using the full mercury vapor spectrum output from 350 nm to 450 nm (g, h and i line) and allows rapid exposure of both broadband as well as narrow spectral sensitive films. The system has been optimized for thick photoresists and polyimides and uses a combination of low numerical aperture with maximum wafer level intensity to achieve well formed images in thick films yet offers the advantages of tighter CD control and tight overlay inherent in projection optics. Basic photoresist characterization techniques established for thin films in IC manufacturing are applied to the photosensitive polyimide films. Cross sectional SEM analysis, process linearity and process windows are used to establish relative lithographic capabilities for different polyimide thicknesses and stepper exposure wavelengths. The trade-offs for each of the various process capability windows are reviewed to determine the optimum process conditions for different polyimide applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The resolution of the protein patterning on polymer surfaces is modulated by the mechanism of attachment. Two mechanisms can be applied for the high resolution patterning on radiation-assisted functionalized polymer surfaces: hydrophobicity-controlled; and chemical linkage patterning. The present contribution assesses the merits and drawbacks of these two mechanisms in terms of resolution and contrast of protein/peptide features. Two microlithographic materials (an acrylate-based system and a diazo-naphto-quinone one); and two lithographic methods (e-beam and optical) have been used to test the merits of the protein patterning mechanisms. Finally these two 'model' materials have been used in a bi-layer architecture. The hydrophobicity-controlled patterning produces sharp images but with multiple defects, whereas chemical linkage produces defect-free images but with a decreased contrast.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The number of lithographic applications that require the use of ultra-thick photoresists is rapidly increasing. Extremely large structure heights and high aspect ratios are often required for micro-electrodeposition of mechanical components such as coils, cantilevers and valves. These ultra-thick photoresists can also be used as a mold in micromachining (MEMS) applications. Ultra-thick photoresists are also used in bump bond applications to define the size and location of the bonds for advanced packaging. The process optimization required to obtain high aspect ratio structures in ultra-thick photoresist films is extremely challenging. The aspect ratios far exceed those encountered in advanced submicron lithography for integrated circuit (IC) manufacturing. MicroChem's epoxy- based SU-8 thick photoresist, while yielding high performance in the thickness range greater than 100 micrometer, uses organic solvent development and can not be removed using standard stripper chemistries. This process issue limits the use of SU-8 to applications where photoresist removal is not necessary. For this study an experimental chemically- amplified, aqueous-developable, strippable, negative photoresist designated STFN v1 was examined at a thickness of 50 micrometer using a broad band lithography system optimized for thick photoresist processing. This stepper uses a combination of low numerical aperture, high wafer plane irradiance and broadband exposure from 350 to 450 nm for optimal processing of thick photosensitive films. Basic photoresist characterization techniques established for thin films in IC manufacturing are applied to STFN v1 photoresist using a ghi-line lithography system. Cross sectional SEM analysis, process linearity and process windows are used to establish the lithographic capabilities of the photoresist. The performance results for the Strippable STFN v1 photoresist are then compared with the non-strippable material SU-8.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have studied the chemical changes that result in photoresist used for LCD device manufacturing due to various stresses that the photoresist is subjected to during the recovery and reclamation process. (1) When the roll coater recovery photoresist is kept open at room temperature for 50 days, needle-shaped crystals formed in the recovery photoresist. Examination by X-ray diffraction revealed that those crystals are ammonium zinc sulfate hexahydrate that was grown owing to the dissolution of the roll material of coater. It is possible to avoid this problem by appropriate selection of the material that comes in contact with photoresist. (2) When new, tightly sealed photoresist was subjected to thermal stress at 40 degrees Celsius for 100 days, a bead-shaped coagulated material appeared. NMR analysis showed that the coagulated material was mainly a photosensitive ester tetramer formed by a thermal change. Furthermore, HPLC analysis showed that the tetramer consisted of mainly tetraesters, but contained diesters, triesters, even monoesters. (3) In the case of the spin coating, although there is virtually no damage from physical stress, water content of about 1% is absorbed from the air during the spin-coating process, and the photo-active compound is decomposed by the thermal stress on the photoresist, lowering the photosensitivity. This change in the photosensitivity of the photoresist can be virtually eliminated if the photoresist contains now water so that the photo-active compound chemical change does not occur during the distillation process. (4) In the reclamation of photoresist, (a) the photoresist is coated in a dry nitrogen atmosphere with no water content, and next (b) the photoresist in a coater cup is dissolved by a photoresist solvent and recovered, and then (c) the viscosity of the recovered photoresist is adjusted by distillation, and it is filtered at the end. By adding fresh photoresist, this type of reclamation can be continuously performed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To reach the sub-0.3 micrometer contact hole pattern by i-line lithography, some advanced technology was introduced such as Phase Shift Mask (PSM) and/or photoresist (PR) flow process. It may be possible that the contact hole is patterned with 0.18 micrometer resolution by the PR flow process with PSM. However, PSM cause the Tg temperature of resist down at the phase shift area. And it also leads the bulk effect by the different pattern density at the cell edge. Thus, during the PSM + PR flow process, 'contact shift' and 'contact distortion' (so-called 'Eyebrow') defects are unavoidable. To repel these defects, we designed the new lithographic process; the UV-bake before thermal flow process. By the UV light at 130 +/- 10 degrees Celsius, the resist was cured at the resist surface. This surface-cured resist has the higher Tg temperature even the normal PSM reticle induces the Tg down. Top surface curing (hardening) also minimized the bulk effect. To maximize the UV bake effects, we developed new resist which was optimized to UV-bake process. By UV-bake process with new resist, the 0.2 micrometer contact hole was patterned. ET margin was 14 nm/10 mJ, and DOF margin was 0.6 micrometer at the mass fabrication device. The 'Eyebrow' and contact shift defects are not detected.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The transition from aluminum/oxide to copper/low-k dielectric interconnect technology involves a variety of fundamental changes in the back-end manufacturing process. The most attractive patterning strategy involves the use of a so-called dual inlay approach, which offers lower fabrication costs by the elimination of one inter-level dielectric (ILD) deposition and polish sequence per metal layer. In this paper, the lithographic challenges for dual inlay, including thin-film interference effect, resist bulk effect, and optical proximity effects are reviewed. The use of attenuated phase shift (aPSM) reticles for patterning vias and trenches was investigated, and shown to provide adequate process margin by optimizing the photoresist and exposure tool parameters. Our results indicate that using appropriately sized attenuated phase shift technique increases the photospeed considerably and simultaneously improves the common process window with sufficient sidelobe suppression margin. The cost of ownership tradeoffs between an attenuated PSM I-Line process and a DUV binary process are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resins used in photoresist manufacturing are often relatively expensive once processing steps (fractionation e.g.) and yield losses are factored into the net cost. We have previously reported on the merits of using an economically more attractive fractionation process using a liquid/liquid centrifuge. Further refinements of this method indicate that waste streams could be reduced by recycling the extractant phase and that lower molecular weight fractions removed from the starting resin might be used in making other resist ingredients [speed enhancers, photoactive compound (PAC) backbones e.g.]. Both of these improvements would reduce the overall manufacturing costs of making resist raw materials and the final products made with them.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The major challenge for a new thin bottom anti-reflection coating (BARC) materials is how efficiently the light reflection can be reduced from the substrate. This becomes complex when the substrate involves transparent inter-layers such as the damascene process. The optimum refractive index (n) and extinction coefficient (k) for a new BARC were simulated with a commercially available software. We have successfully developed a new BARC, SWK-EX6, with other requirements such as high etch selectivity and gap filling capability considered. Lithographic performances were evaluated with a KrF exposure tool (NA 0.60, 2/3 annular illumination) for 150 nm line and space patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Poly (4-hydroxylstyrene) formate (PHSF) can be synthesized from PHS, DMF and O equals PCl3. DMF is not only the solvent but also the reactant. When its use level is excessive, the esterification ratio of PHSF is decided by the use level of O equals PCl3. The higher the esterification ratio of PHSF, the lower the solubility of PHSF in basic aqueous. With deep UV light, PHSF can directly photodecomposite to eliminate CO. In the presence of near UV light acid generators such as triazine-B, PHSF can rapidly occur photo-acidolysis. The above performances are just the requisite performances of the composition of a positive chemical amplification resist or thermosensitive material. The novolak resins with high proportional alkyl ether bonds or phenolic ether bonds can be synthesized by controlling the reaction conditions with alkali-acid or acid-alkali stepwise method. These ether structures are both easy to occur acidolysis, then the resins can become soluble in aqueous basic developing solution PHSF with proper esterification ratio is mixed with a high acid solubilyzation novolak (HASN) resin at proper ratio, and a fixed quantity of near UV light acid generator is added, then they form the positive photoresist. If a near infrared absorption dye is added, the thermoresist scanned by near infrared laser are obtained, or they can form the thermal imaging compositions used in thermosensitive plate.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed photosensitive polyimides synthesized by block-copolymerization for KrF lithography. The polyimides were synthesized from aliphatic tetracarboxylic dianhydrides and aliphatic diamines. Aliphatic rings have been introduced to reduce absorption at 248 nm (KrF). We have obtained line patterns of 0.17 micrometer at a dose of 170 mJ/cm2, and line and space patterns of 0.25 micrometer at a dose of 190 mJ/cm2.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Currently, most photosensitive coating formulations for use in 248 nm semiconductor lithography use polyhydroxy styrene resin homopolymers, and a myriad of copolymers, as the base material for positive acting resist. For negative resists, many polyhydroxy styrene homopolymer derivatives, where the pendant phenolic hydroxy has been modified, are used. This is because of the current commercial availability of the polymer material in a broad range of controlled and reproducible molecular weights, the ability to form uniform films, and the compatibility with a wide range of commonly used resist formulation organic solvents, additives and aqueous base developers. Probably the most important attribute of polyhydroxy styrene resins that led to its ubiquitous use is the lack of a competitive UV self-absorption of this resin at 248 nm wavelengths compared to the well known novolac resins. In this paper we will describe an alternative methacrylate based polymer that contains a pendant phenolic functionality, show some initial imaging results and discuss the general advantages of disadvantages of substituting polyhydroxy styrene homopolymer resins. In particular the use of polymers based on 4-hydroxyphenyl ethyl methacrylate monomer in negative resist formulations for 248 nm (KrF) applications will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Interest in developing materials with reduced environmental impact has led us to design resist formulations that can be cast from and developed with aqueous media. A water soluble chemically amplified positive tone photoresist based on thermal decarboxylation of a half ester of malonic acid has been designed. Two solubility switches are required for this application. Sequential volatilization of ammonia followed by decarboxylation of a malonic acid gives the first solubility switch and an acid catalyzed thermolysis of an acid labile protecting group gives the second. The thermal stability of the acid labile protecting group is critical in this design. Tert-butyl esters decompose during the decarboxylation process resulting in poor imaging contrast. Polymers bearing isobornyl esters are more thermally stable, and show excellent reaction selectivity between the decarboxylation and the thermolysis of the ester. Preliminary imaging of this system provided 1 micrometer resolution with 248 nm exposure and standard TMAH developer. The dry etch stability of the photoresist films is comparable to a conventional photoresist APEX-ER.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Physical and lithographic properties of functionalized acetal- based polymers, newly designed bulky acetals, were investigated for the use of KrF DUV resist. The key structural design was to incorporate some functional groups into the acetal moieties in the polymers through an ether or ester linkage. The polymers were synthesized by reacting poly p- hydroxy styrene (PHS) with variety of functionalized vinyl ethers that were prepared with substitution reaction of chloroethyl vinyl ether. By selecting large moieties in size for the functional group, the polymers showed good lithographic performance even with a low level of the acetal blocking. This was advantageous for minimizing the defects that could generally be formed in image development and also for improving dry etch resistance of the resist. The ester- linked polymers showed a high dissolution discrimination which could be accounted for with dissolution inhibition induced by a molecular interaction of the ester group with photo acid generator (PAG) in the resist composition. A new class of acetal polymers having additional another acid-decomposable group in the functional group is also proposed for achieving a further improvement in lithographic property.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Acid Amplifiers (AA) such as tosylate protected diols are additives to chemically amplified resist (CA) which can generate additional acid during postexposebake. The primary acid formed from the photoacid generator (PAG) induces further acid formation in a 'dark reaction' by the acidolysis of the tosylate acid amplifier. Gains of 2 to 10X in photospeed have been reported by the addition of AA. We have investigated tosylate and camphor sulfonate pinane diols as acid amplifiers in a ketal (KRS-XE) polyhydroxystyrene (PHS) based CA resist and found gains in DUV photospeed or for electron beam exposures. The acid amplifiers gave speed increases of a 1.5 - 2X when used in excess of 2 - 5X mole % of the primary acid generator of triphenylsulfonium triflate. The acid from the AA additive can also be formed directly by the irradiation of the AA in the film. This occurs primarily by the sensitization by the phenolic portion of PHS. The pinanediol tosylate alone was not as efficient as acid source as the triphenylsulfonium PAG. The acid amplifiers also showed thermal lability in the PHS resist film to directly form acid in baking > 100 C. Thus, the host polymer in this case of PHS can affect both the sensitivity and thermal stability of the added acid amplifier. The considerations of the design and use of AA should weigh the thermal stability of the AA, the strength of acid formed from the primary AG, the direct formation of acid from irradiation of the AA, and the strength of the acid produced from the acid amplifier.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The demand for smaller and more uniform features on photomasks has inspired consideration of a DUV (257 nm) resist process for optical pattern generation. Chemically amplified resists require storage and exposure in carbon filtered environments, and they require post-exposure bakes. Few mask facilities are set up to handle chemically amplified resists commonly used in deep UV wafer fabrication process. Hence, it is appropriate to explore the lithographic performance of non-chemically amplified resist materials for 257 nm laser photomask lithography. Resist characterization and lithography simulation were used to formulate a 257 nm resist from DNQ/novolak materials provided by a commercial resist supplier. Diazonaphthoquinone (DNQ)/novolak resists have not been used for DUV Integrated Circuit (IC) applications mainly due to the low sensitivity and the strong absorbance of the DNQ photoactive compound (PAC) at 248 nm. However, a 2,1,4 DNQ based resist has been characterized that bleaches at 257 nm and inhibits novolak. The photoproduct of the 2,1,4 DNQ PAC is much more transparent at 257 nm than 248 nm. Novolak resin also has an absorbance minimum in the DUV at 257 nm that provides transparency similar to poly (hydroxystyrene). Traditional photoresist formulation requires tedious, iterative, and expensive manufacturing trials. Resist characterization and lithography simulation can be used to relate lithographic performance (resolution, sidewall and process latitude) to resist formulation parameters (PAC concentration, developer concentration, etc.), thereby supporting the formulation optimization. An exposure system using a 257 nm frequency doubled argon laser system has been constructed to study the resist photokinetics. Dill exposure parameters (A, B and C) have been extracted for a 2,1,4 DNQ/novolak based resist. Dissolution rate measurements have been made with a development rate monitor developed at the University of Texas at Austin. Simulation using the exposure and development rate models were used to determine the resist formulation that maximizes the sidewall angle and exposure latitude for isolated resist spaces. Preliminary experiments reveal that a DNQ/novolak resist is capable of resolving 0.30 micrometer linewidths using a 257 nm optical pattern generator.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An understanding of the fundamental photochemistry of photoacid generators (PAGs) is essential for the design of new PAGs for use in materials for 193 nm and DUV lithography. The photochemical behavior of some N-oxysuccinimidoarylsulfonate (1) and 1,2-di(arylsulfonyl)hydrazine (2) PAGS has been studied by means of nanosecond laser flash photolysis ((lambda) excequals 266 nm) and steady-state photolysis ((lambda) exc equals 254 nm) in order to investigate the mechanism of reaction, particularly, that of photoacid generation. Upon photoexcitation, the formation of arylsulfonyl radicals has been detected by laser flash photolysis for both of these classes of PAGs. The arylsulfonyl radicals can undergo desulfonylation thereby generating SO2 and aryl radicals; which in O2-saturated solution are converted into arylperoxy radicals. The arylsulfonyl radical generates acid via reaction with O2 to yield a peroxysulfonyl radical which subsequently reacts with a hydrogen atom donor to yield a peroxysulfonic acid. The sulfonic acids detected in product studies are presumably the stable decomposition products of this first formed peroxysulfonic acid. Photoacid generation quantum yields upon laser excitation were found to range from 0.04 - 0.05 for 1 and 0.05 - 0.1 for 2. Photoacid generation quantum yields upon lamp irradiation were considerable higher, approximately 0.4. Based on this work, these materials may offer potential as PAGs for 193 nm and DUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In our experiment for 0.15 micrometer contact hole, we used Water-soluble organic overcoating material (WASOOM) as a barrier layer. Since WASOOM is water soluble, after baking for resist flow, water rinse will remove it completely. The key point of resist flow technique is to reduce overhang, in other words, reducing thermal stress at the top and bottom of resist pattern by WASOOM can lead to well-controlled DICD. Since WASOOM is water soluble and very compatible with resist, during resist flow, it is assumed that it will be acting as a barrier layer so that overhang should be reduced. In this paper we will describe below 0.2 micrometer contact hole pattern without overhang profile, well controlled DICD and fine etch profile. And also 0.15 micrometer contact hole patterning method will be described with half tone + resist flow by WASOOM. And also we will describe the application of SOG (Spin On Glass) for removing top flare after resist baking.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resolution in traditional single layer organic resists has been limited by the inability to image at aspect ratios (resist height to image width) of much greater than 3:1. Unless plasma etch selectivity increases several fold (an unlikely event with organic based resists) single layer resist chemistry will cease to be practical at sub-100-nm resolution. Multilayer resist schemes offer the capability of increased aspect ratio, but they add to the process complexity and cost. Encapsulated inorganic materials as resist components will be ultimately capable of sub-100-nm resolution with sufficient plasma etch selectivity. The encapsulated inorganic resist technology (EIRT) resist will act as a single layer hard mask compatible with existing resist processing steps. Material evaluation showed that encapsulated inorganic materials have properties compatible with current resist technology. Lithographic evaluations have been performed with electron beam, and with 248 nm and 157 nm projection systems. It was shown that 150-nm imaging is possible with resists having high inorganic material content. In all cases the EIRT resists have shown lithographic performance equivalent to control resists containing no SiO2. Reactive ion etch (RIE) etch rates in oxygen and chlorine plasmas are significantly reduced for resists containing SiO2 nanoparticles as compared to a commercial resist providing a proof of concept that EIRT resists can dramatically improved plasma etch rates.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The properties of the PAG and the type of the blocking group combined with the process temperature affect the slope of the dissolution rate curves within the critical lithographic area of the curve significantly. The location of the steepest dissolution rate switching and the photospeed of the resist are primarily a function of the PAG type and base ratio. The resist inhibition is largely influenced by the blocking level of the polymer. The total phenolic (OH) content of de-blocked polyhydroxystyrene (PHS) based resist systems is the only parameter that affects their maximum dissolution rate (Rmax).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a new certified reference material (CRM) intended specifically for independent benchmark validation and quality control of laboratory spectrophotometric measurements on lithographic research test materials. The photometric standard (CRM 500) is comprised of three individual neutral- density quartz filters having nominal percent transmittances of 10% T, 30% T and 90% T (nominal transmittance densities of 1.0, 0.5 and 0.04, respectively). The optical transmittance (T) and transmittance density (-log10T) of each filter is certified at discrete spectral wavelengths corresponding to the exposure wavelengths at which optical steppers are operated. Applicable to deep ultraviolet (DUV) lithography, the CRM 500 standard is custom certifiable at spectral wavelengths down to 193 nm. The certified photometric values and their associated expanded uncertainties are provided at each wavelength. The photometric certification of each filter is benchmarked and traceable to a relevant standard (SRMR 2031) from the National Institute of Standards and Technology (NIST). To ensure that the certified reference values at a given wavelength are accurate and valid at the time of the user measurement, the CRM 500 photometric standard must be recertified at appropriate intervals. A paradigm is presented for the open-loop photometric certification of the CRM 500 standard at ten custom wavelengths in the spectral range 193 nm to 700 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the development of acid catalyzed resist coatings, there is a need to better understand the TAG synthetic chemistry as it relates to performance of the final formulation. Earlier studies have correlated chemical structure to the rate of thermal decomposition. However, very little work has been done in looking at the synthetic chemistry as it relates to resist coatings and functional performance. During this study, both iodonium salts and sulfonate esters were studied. We have looked at how the TAG residual acid level impacts the functional properties of photoresist coatings. The results show that residual acid levels can significantly affect photospeed, cross linking, and thermal properties but have little or no effect on resolution and focus latitude.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The migration of acid catalyst molecules from exposed regions into unexposed regions in chemically amplified photoresists and the resulting image blur, has long been recognized as an important topic requiring close study. A fuller understanding of acid transport mechanisms occurring during the post exposure bake is important to help guide the development and formulation of photoresists capable of reliably resolving the increasingly small features required by the semiconductor industry. This paper reports the direct measurement of diffusion coefficients for perfluorobutane sulfonic (nonaflate) acid in poly(4-hydroxystyrene) at several elevated temperatures. These results show that the Fickian diffusion coefficient for nonaflate acid in poly(4-hydroxystyrene) is too small, at typical post exposure bake temperatures, to account for observed isofocal bias. Also reported is a new technique for investigating acid transport properties of photoresist films. This method uses selective silylation to decorate cleaved resist film stacks, so that the extent of acid catalyst migration can be measured directly by scanning electron microscope (SEM). Acid transport distances from the SEM method are compared to those obtained from infrared (IR) spectroscopic techniques.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Computer simulation of microlithography is a valuable tool for both optimization of current processes and development of advanced techniques. The capability of a computer simulation is limited by the accuracy of the physical model for the process being simulated. The post exposure bake (PEB) of a deep-ultraviolet resist is one process for which an accurate physical model does not exist. During the PEB of a deep- ultraviolet resist, mass transport of photogenerated acid allows a single acid molecule to catalyze several deprotection reactions. Unfortunately, lateral transport of acid into unexposed regions of the resist complicates control over the critical dimension of printed features. An understanding of the factors that contribute to acid mobility would allow resist manufacturers to tailor resist transport properties to their needs. Molecular level models are particularly valuable when attempting to examine mechanistic phenomena and offer the best possibility of accurately predicting lithographic performance based upon the chemical formulation of a resist. This work presents a new, molecular scale simulation of the acid generation and transport process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The most applicable hydroxyl benzoic acid monomers were optimized to synthesize the thermolysis-decarboxylation polymers according to the relative results of TG analysis of hydroxyl benzoic acids, their 13C-NMR spectra analyses and their quantum chemistry calculation with AB-INITIO method. On the basis of the empirical rule -- M/A value rule, while phenols with high M/A value and hydroxyl benzoic acids were both cocondensed with formaldehyde at proper ratio, the novolak resin with carboxyl groups used as a thermal imaging material could be obtained. In the presence of an acid catalyst, such as oxalic acid, a hydroxyl benzoic acid could be additionally polymerized with divinyl benzene (DVB) to synthesize another kind of polymer with not only carboxyl groups but also phenolic hydroxyl groups. The thermal imaging mechanisms of these polymers with carboxyl groups were discussed in the paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Silicon oxynitride film on Silicon Nitride film as an inorganic ARC has been investigated for the tighter critical dimension control. Use of SiON film as an inorganic ARC on silicon nitride film led to the better CD uniformity control reducing substrate dependency issue. Resist profiles on SiON have also been investigated on BPTEOS and on silicon nitride films. Footing on BPTEOS was removed completely by adding SiON film. It is found that SiON showed storage time limit after deposition. Five days after deposition, it showed footing profile. There must be some unknown chemistry to explain that phenomenon. Oxygen plasma applied onto the 5 dayed SiON film showed foot free profile. In the paper we will describe the CD control on Nitride by using SiON as an inorganic ARC, substrate dependence and storage time limit of SiON film.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents the process optimization study of negative tone Chemically Amplified Resists (CAR) under E-Beam exposure. The importance of post apply bake temperature choice on resolution is underlined. The process study determines the process window in which optimal conditions of both post apply and post exposure bake steps are defined and present a method to define more precisely the thermal cross-linking onset. Finally lithographic performances of CARs are studied and we show that resolution can be pushed down to 40 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated effects of surfactant on the wettability of developer to chemically amplified resists and dissolution characteristics of the resists. The results show that surfactants enhance the wettability of developer to the resists. However, surfactant-added developer,in the case of positive resists, caused higher dissolution rate of unexposed and less exposed regions. The degree of higher dissolution rate also depends upon the molecular structure of surfactant. We have found the specific molecular structure of surfactant to improve the wettability without causing higher dissolution of unexposed and less exposed regions: Ester bonding for a tertiary butyloxycarbonyl (t-Boc) type and an acetal type of chemically amplified resist, and the alkylphenyl structure for an annealing type of resist. In the case of negative resist, the dissolution rate was not changed by addition of surfactant to developer. We have clarified that the suitable combination of surfactant and positive resist is required to utilize to the maximum the advantages of the surfactant addition, wettability improvement and volume reduction of developer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have found the Post Coat Delay (PCD) problem in an environment, where ammonia contamination is not controlled, with Top Anti Reflective Coating (TARC) process. The Chemically Amplified (CA) resist coated wafer using the conventional TARC with pH equals 3.2 was kept in the ammonia contaminated environment between the TARC coating and the exposure, the 'T-top' shape resist pattern was formed. To solve the PCD problem, we are considering the advantage to optimize the acidity in TARC material. In the case of using a higher pH TARC (e.g. pH >= 2.5), the 'T-top' shape resist pattern was formed. The TARC was neutralized, after contact with ammonia contamination during the PCD duration, the generated acid by the exposure in the CA resist diffused into the TARC without attacking the protective groups of resist polymer during the Post Exposure Bake (PEB) step. The lack of acid in the resist surface resulted in the formation of the 'T-top' shape resist pattern. On the other hand, in the case of using a lower pH TARC (e.g. pH >= 1.9), the remarkable film thickness loss occurred. Once a lower pH TARC was coated, the acid in the TARC diffused to the CA resist surface. Excess acid existence near the resist surface enhanced CA reaction and resulted in the film thickness loss. Thus, optimizing the acidity of TARC material is very important. A higher pH TARC results in the 'T-top' shape resist pattern, and a lower pH TARC results in the film thickness loss. We have found that a TARC with pH equals 2.2 shows the optimum result in achieving the environmentally stable lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The present paper examines the applicability of three basic resist types (acetal, hybrid, t-butylester) to print sub-0.2 micrometer contact holes (C/H) using state-of-the-art illumination and processing techniques. In terms of ultimate resolution and DOF the acetal-based material showed the best performance under standard processing conditions, but exhibited serious limitations upon application of a half-tone phase-shift mask (HT-PSM) due to side-lobe formation. The hybrid material showed significantly better HT-PSM compatibility and -- due to an enhanced adaptability to practical thermal flow processes -- the best results upon application of a postbake to trigger thermally induced shrinkage. The t-butylester material usually performed second to the best under any selected illumination condition, and may be considered as top performer from a general point of view as no real show-stopper was observed. Thermal flow results of the hybrid material are discussed in more detail and briefly compared with alternate shrinking technologies, such as RELACSTM or CARLTM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Atmospheric pressure deep UV lithography using fast chemically amplified photoresists will be the mainstay of semiconductor production into the foreseeable future. Airborne base contamination of modern resists is a yield-limiting issue that has lacked quantitative correlation to resist performance. Herein, the authors discuss the affect and implications of molecular base contamination on a state-of-the-art 248 nm chemically amplified photoresist. The results of this work suggest that contamination control will become even more critical as we enter the era of low K1 factor 150 nm device production using 248 nm lithography. The experimental work that supports these conclusions was performed at IMEC, Leuven, Belgium.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As production critical dimensions shrink from 0.25 micrometer generations down to 0.18 micrometer and farther, the demands of process control often have to be judged alongside throughput concerns. The resulting balance between these concerns is the result of a multitude of factors. In a lithography environment that produces multiple variants upon a similar device, one approach toward keeping resist processing uniformity down to manageable levels is to limit processing on a given track-stepper photocell to a single layer, with multiple variants upon only a few resist processes. If enough photo-cluster cells are present, this is a workable scheme. However, in the event that multiple devices are being produced out of a lithography environment with demanding resist capacity needs, inventive measures need to be taken in order to keep a manageable balance between throughput and process variation. More specifically, in the event that multiple resist processes are being managed within a single photo-cluster cell, resist process non-uniformity issues may arise due to the conflicting needs of the different resists. Therefore, due to the needs of multiple resist process support within a single photo-cell, any singular process may not be fully optimized. At AMD's Sub-micron Development Center (SDC) in Sunnyvale, California, a series of experiments were run with the intention of achieving the most optimized groups of I-line or DUV resist for a given resist thickness. Thickness output variables, such as range and thickness uniformity, were evaluated with respect to varying degrees of resist temperature within a single coater cup environment. From the initial results, further adjustments from the optimized resist temperature were performed in order to achieve a singular resist temperature for the entire resist block. In this paper, the limitations of track processing, specifically resist temperature control within a single resist coat cup environment, will be highlighted. Analyzed contour data generated by the Tencor/Prometrix FT750 will demonstrate the relationship between mean thickness, thickness uniformity and resist temperature changes, and how best to identify an optimized resist thickness for both single wafer and wafer to wafer processing. Lastly, from the output data collected, the best processing practice and placement of resists within a single coat cup environment can then be extended across an entire set of I-line and DUV photo-cells, leading to the optimization of several resists across a multiple track environment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As design rules dip below 180 nm, DUV scanners are used at all critical levels with overlay requirements approaching 50 nm. Overlay specifications are typically 30% of critical dimension (CD), 45 nm maximum error for 150 nm geometries, but even non- critical layers at quarter micron may still require a 45 nm overlay for optimum device packing densities. The same photoresist (PR) material employed for patterning and etching thin film layers used to define device structures may also be used as an implant mask. Cost reduction efforts have prompted a detailed evaluation of the practical limits of exclusive utilization of UV6 PR for 180 nm CMOS device processing of 200 mm diameter wafers at SEMATECH. This paper addresses the characterization of UV6 PR relative to ion implant masking in order to discontinue i-line and eliminate mix-and-match lithography processing. Initially, wafers were prepared with incremental UV6 blanket thickness and processed through a range of ion implant operations prior to dry resist stripping by oxygen (02) plasma ashing. ThermaWaveTM optical surface roughness measurements were performed on the resultant bare silicon (Si) surface and compared with a non-implanted control sample for evidence of ion penetration and to determine the required PR mask thickness. Realization of conditions corresponding to the onset of elevated ThermaWaveTM Units (TW) established the parameters for a narrow range investigation of ion projection through UV6. Secondary Ion Mass Spectroscopy (SIMS) ion depth profiling was extensively utilized to specify the energy absorption efficiency of UV6 for each implant species; boron (11B), phosphorus (31P), and arsenic (75As). Residual Gas Analysis (RGA) measurements quantified the outgassing constituent elements detected during ion bombardment. This data assisted the effort to establish the optimum UV6 cure (stabilization/bake) process parameters necessary for particle free ashing. At SEMATECH, 11B, represents the most penetrating (P-well, 160 keV) standard implant operation and 75As, (P3PAPT, anti-punchthrough, 180 keV) represents the standard operation most damaging to photoresist surface topography. Wafers with patterned UV6 PR featuring isolated and dense narrow line structures were inspected with an atomic force microscope (AFM) and verified by Scanning Emission Microscopy (SEM) Based Electron Beam Induced X-ray Spectroscopy following high dose, high current As+ implant bombardment. This technique was implemented to establish the optimum O2 plasma ashing conditions in addition to verifying the UV6 physical feature integrity. This paper identifies the evolution of UV6 PR pertaining to standard PMOS device production. Additionally, electrical data corresponding to 250 nm transistor performance is presented for the direct comparison of i-line (GCATM stepper) vs. UV6 (MicraScanIIITM DUV step and scan). The results of this research enabled the exclusive implementation of UV6 PR in favor of i-line, thereby, eliminating the need for mix-and- match reticle alignments between lithography tools through the course of full flow device manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the design rule of device shrinks below 0.14 micrometer, the higher resolution is required for real device application. With smaller feature size below 0.14 micrometer, the lower coating thickness of resist is essential because of the pattern collapse issue at the high aspect ratio. However, the lower resist thickness induces the problem of etch selectivity due to the limited etch resistance of resist. In this study, the method of electron beam stabilization has been applied for improving the etch selectivity of resist patterns having an aspect ratio less than 3:1. With applying the electron beam stabilization, the Deep-UV photoresists based on the chemical structures of Acetal (AS106) and Escap (UV82) types have been evaluated in the respect of etch selectivity as the functions of an electron beam dose and etch condition. The metal etch rate reductions of 20 percent and 26 percent have been occurred for the resists of Acetal and Escap type, respectively, at 2000 (mu) C/cm2. And the thermal and chemical properties were characterized before and after electron beam stabilization using DSC, TGA, and FT-IR. The cross-sectional views of resist pattern after electron beam processing were also investigated to know the chemical stability of resist during the electron beam process. Based on the experimental results, the application possibility of electron beam stabilization for real device fabrication below 0.14 micrometer has been presented in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
248 nm DUV lithography has become a mainstream production technology for sub-0.25 micrometer feature sizes due to its superior process technology and improved cost of ownership (COO). As the semiconductor industry moves to sub-0.18 micron critical layer feature sizes, there is an enormous economic incentive to extend 248 nm technology towards smaller geometries. Traditionally, resolution enhancement technologies such as various illumination types (off-axis, annular ring and quadrapole) and phase shifted masks are based on the optimization of the diffracted aerial image wave front and they concentrate on the exposure tools and masks. In this paper we report a new novel resolution enhancement technology based on the wafer track. We have demonstrated that this new technology, along with the scanner-based resolution enhancement techniques, can substantially improve resolution capabilities and process latitudes of the 248 nm technology. Consequently, semiconductor manufacturers will be able to extend 248 nm DUV technology for smaller feature sizes than was possible before. Our new resolution enhancement technology allowed us to increase the contrast of an acetal-based DUV resist from about 5 to 13 by carefully controlling the environment during the post exposure bake process. This technology provided a continuous contrast knob that could be controlled and set based on the application. Increased photoresist contrast in turn made it possible to pattern 0.15 micrometer isolated lines and 0.2 micrometer dense lines using a 248 nm mercury lamp-based scanner with projection optics designed for 0.35 micrometer features. A DOF of 0.8 micrometer for 0.25 micrometer dense lines was achieved using this PEB- based resolution enhancement technology whereas conventional technology could not resolve 0.25 micrometer dense lines at all. Detailed lithographic characterization identified a 30% improvement in process latitude. Furthermore, cross sectional SEM studies revealed high quality CD profiles and measured high side wall angles with resolution-enhanced patterns. The cross sectional SEM studies also suggested that the new resolution enhancement technology also reduces the iso-dense bias. Detailed Prolith simulations support these experimental results. Mechanistic understanding along with the experimental data and simulation results will be presented in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An alternative coating technology was developed for 248 nm/193 nm DUV lithography and low-k spin on dielectric (SOD) materials used in the interconnect area. This is a 300 mm enabling technology which overcomes turbulent flow limitations above 2000 rpm and it prevents 40 - 60% reduction on the process latitudes of evaporation-related variables, common to 300 mm conventional coaters. Our new coating technology is fully enclosed and it is capable of controlling the solvent concentration above the resist film dynamically in the gas phase. This feature allows a direct control of the evaporation mass transfer which determines the quality of the final resist profiles. Following process advantages are reported in this paper: (1) Demonstrated that final resist film thickness can be routinely varied by 4000 angstrom at a fixed drying spin speed, thus minimizing the impact of turbulence wall for 300 mm wafers. (2) Evaporation control allows wider range of useful thickness from a fixed viscosity material. (3) Latitudes of evaporation-related process variables is about 40% larger than that of a conventional coater. (4) Highly uniform films of 0.05% were obtained for 8800 angstrom target thickness with tighter wafer-wafer profile control because of the enclosed nature of the technology. (5) Dynamic evaporation control facilitates resist consumption minimization. Preliminary results indicate feasibility of a 0.4 cc process of record (POR) for a 200 mm substrate. (6) Lower COO due to demonstrated relative insensitivity to environmental variables, robust resist consumption minimization and superior process capabilities. (7) Improved planarization and gap fill properties for the new generation photoresist/low-k SOD materials deposited using this enclosed coating technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The bi-layer resist (BLR) process, which first accomplish imaging on a thin top layer and transfer it down to a thick organic layer, is one of newly emerging patterning techniques in silicon processing. In this work, we studied the lithographic performance of the BLR process adopting FK- SPTM (Fujifilm Olin Co.) as top layer material and various organic material as bottom layer. Generally, considerable advantages of planarization, reduced substrate reflection, improved process latitude, and of enhanced resolution are achieved. However, the resolution and the process latitude are highly affected by surface interaction between the top resist and the bottom material. Moreover, the BLR process has a sidewall roughness problem related to the material factors of the resist and the degraded aerial image contrast, which can affect the reliability of the device. We found that thermal curing treatment applied after development with the consideration of the glass transition temperature are very effective in reducing the line edge roughness. More smooth and steep patterning is achieved by the thermal treatment. The linewidth controllability is below 10 nm and the k1 value is reduced from 0.5 down to 0.32 in this process. The reactive ion etching adopting O2 gas demonstrated selectivity of the top resist over bottom material more than 15:1, together with residue-free and vertical wall profile.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To further improve device performance, copper has been introduced to replace aluminum as the interconnect material due to its lower resistivity. Copper/low-k dual damascene process requires significant changes in process design and implementation from the traditional aluminum interconnect architecture. There are several implementation schemes for dual damascene architecture, including via first, trench first and buried hard mask. Among these schemes, via first process provides the largest process tolerance for stepper/scanner overlay control in addition to the guaranteed full size vias. However, positive tone resist encounters some difficulties in dual damascene photo process for via first approach, because the resist filled in the via can not be exposed and removed properly, resulting in considerable resist residual in the via after development. In contrast, negative tone resist shows great advantage in this process and demonstrates its capability in producing desired patterns without resist residuals in the via. In this paper, the design of a dual damascene photo process using Shipley UVN30 negative tone resist is evaluated, and experimental results regarding to its process performance are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A thick positive chemical amplified DUV photoresist, Shipley UV 25, is designed with high transparency (0.18AU) for implant layer application. Comparing with traditional Novolak I-line implant layer resists high aspect ratio and fast photospeed of UV 25 is demonstrated. Lithographic results show that UV 25 has excellent coating capability up to 3 microns thick, with excellent photospeed and good overall lithographic performance for various features. Process optimizations of UV 25 for various features are investigated. The results indicate that the temperatures of softbake and post exposure-bake play very important roles in improving the process windows. We have found that high softbake for better annealing and solvent removal is critical, and the best lithographic process is typically at PEB temperatures lower than the softbake temperature (at least 20 degrees Celsius lower). The process with a high softbake temperature and a low PEB temperature significantly improved overall process window. In addition, PED stability with an optimal baking process is also studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents a simulator, RIAR (Rapid Imaging Analysis for Resists), for fast imaging resist profiles given a post exposure bake (PEB) model. First, the aerial image profile on the surface of the resist is obtained through SPLAT. Then the image profile is converted into the resist pattern after PEB and develop by solving a given 2-dimensional PEB model, which is usually a 2-D partial differential equation (PDE) system. The 2-D PDE system is taken as an image transform and is solved by iteratively approximating the solution with 3- variable polynomial on space and is much faster than the traditional PDE solver. The time complexity of RIAR and STORM are compared with respect to reaction rate, diffusivity, simulation scale, etc. RIAR achieves a speed up of 5 to 7 times STORM, maintaining the precision. In addition, RIAR consumes much less memory and can simulate domains of 9,000 nodes on a DEC Alpha 600 MHz, 256 MB DRAM workstation in a reasonable time. An example of applying RIAR in line-end shortening simulation is also given.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side-wall angles after etch. Only a slight improvement is observed in the isolated to dense bias effects of the etch process. Improved CD control is also achieved by applying the electron beam process, as more consistent CDs are observed after etch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mitsubishi Electric Corporation (MELCO) has developed an advanced microlithographic process for producing 0.1 micrometer contact holes (CH). A chemical shrink technology, RELACSTM (Resolution Enhancement Lithography Assisted by Chemical Shrink), utilizes the crosslinking reaction catalyzed by the acid component existing in a predefined resist pattern. This 'RELACSTM' process is a hole shrinking procedure that includes simple coating, baking, and rinse steps applied after conventional photolithography. This paper examines the process parameters affecting shrinkage of CH size. We subsequently evaluated the dependency of CH shrinkage on resist formulation. We conducted investigations of shrink magnitude dependency on each process parameter. (1) Photoresist lithography process: CH size, exposure dose, post development bake temperature. (2) AZR R200 [a product of Clariant (Japan) K.K.] RELACSTM process: Soft bake temperature, film thickness, mixing bake temperature (diffusion bake temperature), etc. We found that the mixing bake condition (diffusion bake temperature) is one of most critical parameters to affect the amount of CH shrink. Additionally, the structural influence of photoacid generators on shrinkage performance was also investigated in both high and low activation energy resist systems. The shrinkage behavior by the photoacid generator of the resist is considered in terms of the structure (molecular volume) of the photogenerated acid and its acidity (pKa). The results of these studies are discussed in terms of base polymer influence on shrinkage performance and tendency. Process impact of the structure and acidity of the photogenerated acid is explored. Though the experimental acetal type KrF positive resist (low activation energy system) can achieve around 0.1 micrometer CH after RELACSTM processing under the optimized condition, the experimental acrylate type positive resist (high activation energy system) showed less shrinkage under the same process condition. The shrinkage performance of RELACSTM process largely depends on the resist chemistry used as the underlying layer. Further, shrinkage degree can be controlled by process optimization even for the high activation energy type photoresist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the lithography industry moves toward finer features, specifications on temperature uniformity of the bake plates are expected to become more stringent. Consequently, aggressive improvements are needed to conventional bake station designs to make them perform significantly better than current market requirements. To this end, we have conducted a rigorous study that combines state-of-the-art simulation tools and experimental methods to predict the impact of the parameters that influence the uniformity of the wafer in proximity bake. The key observation from this detailed study is that the temperature uniformity of the wafer in proximity mode depends on a number of parameters in addition to the uniformity of the bake plate itself. These parameters include the lid design, the air flow distribution around the bake chamber, bake plate design and flatness of the bake plate and wafer. By performing careful experimental studies that were guided by extensive numerical simulations, we were able to understand the relative importance of each of these parameters. In an orderly fashion, we made appropriate design changes to curtail or eliminate the nonuniformity caused by each of these parameters. After implementing all these changes, we have now been able to match or improve the temperature uniformity of the wafer in proximity with that of a contact measurement on the bake plate. The wafer temperature uniformity is also very close to the theoretically predicted uniformity of the wafer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper uses simulation to examine the effect of four specific process contrast modifiers on lithographic performance of a chemically amplified process. With exposure latitude as a process contrast indicator, it is shown that all four modifiers (1) dissolution curve steepness, (2) minimum dissolution rate, (3) bake kinetic contrast, and (4) diffusion, have similar effects on some important responses such as dense line resolution and isolated:dense proximity bias. For other simulation outputs, some of the modifiers behave differently from the others. In particular increasing diffusion length gives a rapid decrease in exposure latitude and resolution, but has relatively little effect on CD-related depth of focus. Also the lithographic predictor exposure margin (EM equalsV E1:1/E0), shown to be a good indicator of process contrast in the past, fails if diffusion length varies. The question of how the contrast modifiers affect performance of dense lines, isolated lines, and both dense and isolated together is addressed. The results show dense line performance is driven by process contrast: all important responses tested (resolution, exposure latitude, and DOF) are optimized by maximizing the process contrast. This condition is met by maximizing dissolution curve steepness and bake kinetic contrast, and minimizing Rmin and diffusion length. Isolated lines show different behavior. While isolated line exposure latitude is similarly maximized with highest process contrast, maximum DOF requires a low process contrast. Particularly effective for maximizing isolated line DOF with the least detrimental impact on exposure latitude is use of a low contrast dissolution curve and/or a high minimum dissolution rate. When dense and isolated lines must be printed simultaneously, highest contrast maximizes exposure latitude for both but gives poor isolated line DOF. There is also a distinct trade-off in dense line and isolated line DOF; simultaneous optimization is apparently not possible, under the conditions varied in this work.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 'via first-trench second' dual damascene technology is currently being explored by several major semiconductor manufacturers due to lithography constraints of printing small contacts on extremely non-planar topology (trench first technology). Typical via holes are 0.30 - 0.50 micrometer and 0.18 - 0.25 micrometer with aspect ratios of 3 to 6 for i-line and DUV exposures, respectively. The novel approach utilizes an organic material to fill via holes to a desired level with some planarization of the topographic pattern. Numbers of novel polymers have been synthesized and evaluated to fulfill the requirements for the dual damascene process. These polymers showed good coating and planarizing properties. By modifying the formulations such as polymer molecular weight, viscosity, solvents, and cross linker and thermal acid generator additives, as well as dispense and casting process conditions, the polymers were able to fill the via holes in 20 to 80% with good fill profile. Further, these polymers were incorporated with chromophores, which are highly absorptive at 365 nm and 248 nm wavelength. Similar to the bottom antireflective coating, these polymer coatings can effectively reduce or eliminate substrate reflection, swing effect and other problems caused by thin film interference. Our progress in this study has led us to the development of AZR EXP HERBTM B.A.R.C. for 365 nm exposure and the commercialization of AZR EXP KrF 17B 80 B.A.R.C. for 248 nm exposure. This paper will focus on development and process modification of these novel materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Union Chemical Laboratories has designed and synthesized novel copolymers of norbornene-alt-derivatives, maleic anhydride and alicyclic acrylate for ArF excimer laser lithography. These polymers are prepared using a free-radical copolymerization process. Applying the resin for 193-nm single layer chemically amplified photoresist composed of cholate derivative with a PAG leads to a good resolution below 0.13 micrometer line/space patterns using an ArF stepper and 2.38 wt% tetramethylammonium hydroxide aqueous solution as a developer. Furthermore, alternating phase shift mask was used in combination with a feature size as small as 0.1 micrometer. To overcome post exposure delay (PED) effect caused by airborne contamination, three new base additives were used in the resist formulation. The etching-resist ability of resists by reaction ion etching (RIE) was showed better than conventional g-line and KrF excimer laser resists. Experimental results of CHF3/CF4 as etch gas, indicate that the etching rate selectivity with respect to SiO2 is about 0.5. The UCL photoresists also showed good shelf life stability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the interesting performance for KrF excimer laser lithography at low k1 process in Rayleigh criteria. For 0.15 micrometer lithography process, the experiments have been performed by using resolution enhancement techniques (RET) such as phase shift masks (PSM), off-axis illumination (OAI), 0.63 high NA, and high contrast resist. Especially for the gate level CD control, we have investigated the thin film interference effects of oxide, resist and BARC thickness variation by simulation and experimental. Also, we have experimentally compared the CD control performance and the process window with oxide thickness variation on actual device wafers, because the oxide thickness variation enhances thin film interference effects. Finally, we determined the most suitable conditions for providing sufficient protection against reflection by controlling the substrate film thickness. Furthermore, requirements for 0.15 micrometer gate level CD control are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep ultraviolet (DUV) bottom anti-reflective coating (BARC)- to-resist compatibility is a key component in process optimization. In addition to the reduction of optical interference effects, BARC's also improve CD uniformity by preventing substrate contamination. However, if the BARC is not compatible with the resist, it can create adverse affects. If the acidity level of the BARC is not tuned to the resist for example, the profiles will foot or undercut, or if the BARC-to-resist developer interactions are not considered, high levels of post-develop defects will most likely occur. Etch selectivity, topography conformality and bowl/drain compatibility are other factors to consider when selecting a BARC. This paper follows the progressions of the leading DUV BARC's for Acetal-based resist systems and addresses the problems that could be encountered with implementing a BARC process. From DUV32 to the topography-conforming DUV42 and finally to the profile-enhancing DUV44, the 248 nm BARC's are continually evolving to resolve the BARC-to-resist compatibility issues.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Antireflective Coatings (A.R.C.'s) are widely used for reducing reflectivity problems in microlithography. As optical lithography pushes towards shorter wavelengths and device CD's shrink, thin film interference effects and reflectivity problems become more critical. Therefore, the use of dyed resist and top or bottom antireflective coating is becoming more prevalent. Severe swings in the intensity of such thin film interference are seen as the exposure wavelength decreases. Bottom antireflective coatings are very effective to suppress reflective notching, standing wave effects, and reduce swing ratio. The use of dyed resists as bottom antireflective coatings for absorption of the light can cause sublimation or diffusion of dye molecules into the adjacent photoresist layer during baking. An experimental top antireflective composition is developed from a water-soluble AquazolR, polymer, with varying molecular weight. The Cauchy coefficients and n and k values were determined for these top antireflective coating compositions. These compositions and the existing commercial product AZR AquatarR (A.R.C.) were evaluated with AZR 7908 and other experimental resists for lithographic performance. The comparative data of the performance enhancement in terms of resolution, DOF, linearity will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The methods derived from computational chemistry in both its two embodiments, i.e. quantum mechanics and molecular mechanics, have been sporadically used for the understanding the photochemical processes and materials-related properties. The usage of computational chemistry was hampered by the available computer power and -- to a lesser extent -- by availability of algorithms and methods. Presently, computational chemistry is a maturer field, with applications in materials science abundant. This contribution presents an example where semiempirical quantum mechanics methods has been used to estimate the molecular absorbance of a photolysis induced intermediate chemical species.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The compatibility of novel organic bottom anti-reflective coatings (BARC), HEART003 and HEART004 developed by Hyundai Electronics, with various chemically amplified resists (CAR) was reported. The incompatibility between organic BARC and CARs is caused by the differences of residual activity on the surface of organic BARC after baking process and the types of dissolution inhibitors in the polymer of CAR and photo acid generators (PAG) used in their formulation. In KrF lithography, the HEART003 was much compatible with acetal type resist than annealing type resist because it's neutral acidity on the surface of BARC. The incompatibility with annealing type resist was caused by weak residual acidity on the surface of BARC, relatively. Thus we tried to adjust the feasible residual acidity on the surface of BARC and optimum thermal baking condition. The modified HEART003 has excellent compatibility not only with acetal type resist, but also with annealing type resist in the same platform. In ArF lithography, the HEART004 has also good compatibility with cycloolefin type and (meth)acrylate type ArF resist by modification of its formulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Using 2MAdMA(2-Metyl-2-Adamantylmethacrylate), 2MAdAA(2-Metyl- 2-Adamantylacrylate), GBLMA((gamma) -butyrolactone methacrylate), GBLAA((gamma) -butyrolactone acrylate) monomers, 4 types of copolymers, 2MAdMA/GBLMA, 2MAdMA/GBLAA, 2MAdAA/GBLMA, 2MAdAA/GBLAA resins were prepared. The same PAG formulation was applied to these resins to make ArF resist samples. Resolution capability, dry-etching resistance were evaluated. From the result, it can be concluded that 2MAdAA/GBLMA resin system has the best balance in dry etching resistance, resolution and sensitivity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have been evaluating the silylation process for 193-nm lithography as one of the Top Surface Imaging (TSI) techniques. In this paper, we describe a bi-layer negative- tone silylation process using polyvinylphenol (PVP) resin as a top layer, whose hydroxyl sites are fully protected by tertiary-butoxycarbonyl (t-BOC) and/or 1-ethoxyethyl (EOE) groups. First, we used a silylation resist fully-protected by only t-BOC. We could fabricate 0.12 micrometer L/S patterns without using any resolution enhancement techniques. In addition, there was a rectangular profile of resist patterns and no scums around the spaces after the dry development. However, the sensitivity was about 11 mJ/cm2, the LER of the 0.13 micrometer L/S patterns was about 15 nm (3(sigma) ), and also the CD fluctuated according to the PED time. In order to improve these characteristics of the silylation resist, we applied the EOE group as a protective one for practical materials which contributes to easy deprotection. When we changed the protection ratio of t-BOC to EOE, we observed that the pattern profile became worse based on the increase in the protection ratio of the EOE group. However, we could improve the pattern profile by controlling the hygroscopic characteristic of the silylation resist. In the case of the silylation resist protected by t-BOC/EOE (70/30), we could successfully obtain 0.12 micrometer L/S patterns with the high sensitivity of about 2 mJ/cm2.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated the mechanical porperty of ArF resists formed on Si substrate. We measured strength in resist film by introducing the scratch test method. Stress is also evaluated by measuring the curvature of Si wafer coated with resist. The results showed that the mechanical property of resist films mainly depends on the resist polymer structures. A half strength and 5 times large stress of typical ArF resist films comparing with KrF resist explain the observed behaviors of ArF resist such as peering or cracking in lithography process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The deprotection of chemically amplified resist is amplified by photogenerated acid during post exposure bake. The deprotection rate is mainly dependent on bake temperature and time. It has been assumed that the temperature of wafer surface and photoresist is to be raised instantaneously up to desired set temperature, but in real world it can not happen. We investigated the temperature change of wafer surface on a hot plate and obtained effective post exposure bake time. We applied the effective post exposure bake time to our simulation tool and the simulation results showed a better agreement with the experimental resist profile.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two organic, spin-on BARCs are in the small scale manufacturing phase -- with the goal being a 193-nm product optimized for commercialization. Chemistries of the BARCs are shown in this paper and performance of the two products relative to industry accepted needs is discussed. The thermoset BARCs, EXP98090B and EXP99001D, are prepared from hydroxy-functional, dye-attached acrylic polymers by adding an aminoplast and sulfonic acid catalyst. With select 193-nm resists, the BARCs give resolution of L/S pairs down to 0.12 micrometer. Plasma etch rates of both BARCs are comparable to those of 193-nm photoresists. Other BARC performance parameters that are discussed for the two products include: film and optical properties, conformality, simulated reflectance curves, spin-bowl compatibility, metals content, and defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
SU-8 has great potential in low cost ultra-thick high aspect ratio MEMS applications. Although a broad range of thickness (from micrometer to mm) can be obtained by spin coating, the works about the sidewall profile and dimension control of SU-8 microstructures have not been published in detail. This paper describes the detailed investigations on the effects of processing parameters such as UV wavelength and exposure dose on dimensional change and sidewall profile of SU-8 microstructures. The optimized processing parameters for SU-8 structures with the thickness from 10 to 360 micrometer are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thin Layer Imaging (TLI) technique offers opportunity for lithographic performance gain as well as issues relating to its complexity of the process. Of those improvement possibilities, utilizing hyper fine resolution one can gain using very thin (<250 nm) imaging layer, has been a gateway to access the otherwise unavailable sub-wavelength features using the currently available exposure tools. However, pattern transfer from the imaging layer (wet developed) to the main etch resistance layer (organic bottom layer also act as BARC, Bottom Anti Refractive Coat, during exposure) requires considerable efforts in bottom layer dry-develop etch process optimization on a plasma etch chamber. And, such an extra process requires significant amount of engineering attention to the multi layer process scheme. In this paper, we report the 140 nm (k1 equals 0.44, including true dense, 1:1 arrays) contact hole printing results (lithographic performance including resolution, focus/exposure latitudes, proximity effects) using standard binary chrome-on-quartz mask as well as the subsequent pattern transfer process optimization. The lithographic exposure was performed on a 10X ISI microstepper operating at 193 nm ArF laser source located at the RTC (Resist Test Center) of the International Sematech. The dry development DOE experiments were performed on a LAM TCP9400PTX inductively coupled plasma (ICP) etch chamber also residing at the RTC. The effect of process conditions (TCP power, bias power, O2/SO2 gas flow/ratio, and chamber pressure and chuck temperature) on the integrity of pattern transfer (etch rate, selectivity, CD bias, side wall profile) were investigated by full factor designed experiments.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The modification of the electron beam resist by spiking with various amounts of poly(styrene-co-maleic anhydride) copolymer is performed. The characterization of resist solutions by gel permeation chromatography (GPC) and viscosity measurement reveals the main polymer chain in the resist is unchangeable, irrespective of the amount of modification. In addition, the spiking copolymer exists in original form. The viscosity of the resist increases with the amount of spiking polymer. Our thermal analysis results show that the resist are mainly decomposed in two regions (280 and 544 degrees Celsius). The mass loss at 280 degrees Celsius is significant higher than at 544 degrees Celsius. The spectra of Fourier transform infrared red (FTIR) spectrometer indicate the extent of carbonate group decomposition decreases with temperature for resists. The plasma etching experiment indicates the promotion of etching resistance of the resist film is due to modification, while the resolution, sensitivity and contrast are not degraded. Owing to the polymer aggregation effect, the stripping performance of the resist film can achieve better after copolymer modification.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The diffusion process of acids plays important roles in chemically amplified resists. Polymer matrices form diffusion paths and the structure significantly influences the behavior of acid diffusion. We have simulated the diffusions of molecules in polymer matrices by molecular dynamics in order to analyze the diffusion mechanism in chemically amplified resist systems. To represent the bulk-state conditions of the polymer film, the molecular structures were prepared under the three-dimensional periodic boundary conditions utilizing the molecular simulation software, Insight II and Discover from Molecular Simulations, Inc. This amorphous cell contained three chains of methacrylate polymers such as poly(methylmethacrylate), PMMA, poly(tert-butylmethacrylate), PtBuMA, poly(isobornylmethacrylate), PIBMA and poly(adamantylmethacrylate), PAdMA and one diffusion molecule, methanesulfonic acid, MSA. The free volumes in the system were also estimated as the volumes enclosed by the isopotential surfaces around the polymer. Molecular diffusion in the energy-minimized cell was simulated for 50 picoseconds by the molecular dynamics to determine the diffusion coefficient in the resist systems. The temperature dependence of the diffusion coefficient was studied. The flexible polymers such as PMMA and PtBuMA showed a lower activation-energy value than the rigid polymers such as PIBMA and PAdMA. It was also shown that the molecules did not always rapidly diffuse with larger free volumes, but the diffusions also depended upon the interaction with the polymer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigated the thickness and optical constants, n and k, changes of the 193 nm chemically amplified resist for different thicknesses and soft bake conditions with in-situ measurements. During soft bake, the thickness, n and k change abruptly up to 90 s, then they settled down to certain values. It has been found that the optical properties of the resist after soft bake depend on the final resist thickness. The relationships between the optical constants and the resist thickness after soft bake were extracted from the experimental results and applied to our simulation. A series of simulations were carried out for various resist thicknesses. The simulation results showed considerable changes in line width when the changes of n and k after soft bake were considered. The results indicate that the changes of the optical constants by soft bake are not negligible and they can affect the lithography process significantly. Especially for the thin resist with a smaller critical dimension, the line width variation due to n and k change by soft bake becomes more significant and should be considered in simulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New silicon-containing polymers, poly{5-[(2- trimethylsilyl-2-propyl)oxycarbonyl]-norbornene-co-maleic anhydride} [poly(TMSPN-co-MA)] and poly{5- [(2-trimethylsilyl-2-propyl)oxycarbonyl]-norbornene-co- maleic anhydride-co-2-tri-methylsilyl-2-propyl methacrylate}, were synthesized for dually developable chemically amplified resists. The polymers exhibited relatively good transmittances at 193 nm and have good thermal stability up to 190 degrees Celsius. Poly(TMSPN-co-MA) was evaluated as a resist for ArF excimer laser lithography. 0.18 micrometer line and space patterns were obtained at a dose of 11 mJ/cm2 using the conventional wet developer with an ArF excimer laser stepper. 1 micrometer line and space patterns were obtained using dry development process with O2 reactive ion etching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated alicyclic methacrylate copolymers for positive ArF resist. The resist utilizing developed copolymer had so high sensitivity and so high resolution that we could believe its potentiality to be high. When any quenchers were not added, the limited resolution of the developed resist was by 0.14 micrometer L/S. Therefore we carried out the investigation of quencher in order to improve the resolution of the resist. As a result, it found out that amide compounds were effective as a quencher for this system, and a certain kind of an amide compound made the resist profiles good. Then we studied the relationship between the resist performance and the basicity or the polarity of the basic organic compounds used as quencher.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A series of new cycloaliphatic olefin monomers protected by alicyclic hydrocarbon groups were synthesized. New polymers of cycloaliphatic olefins and cycloolefin-maleic anhydride (COMA) systems were also designed and prepared using the new monomers for 193 nm resist applications. These polymers were synthesized by free radical polymerization technique, utilizing azobisisobutyronitrile (AIBN) or di-t-butyl peroxide initiators. The cycloolefin polymers synthesized by free radical polymerization method were not good for ArF lithography because of their poor transparency at 193 nm, although they showed a good dry etch resistance. However, the new COMA polymers had good transparency at 193 nm and had an etch rate in CF4 mixture plasma of approximate 1.0 times that of DUV resists. Using ArF exposure tools (NA equals 0.6, (sigma) equals 0.7), 130 nm line/space patterns were resolved. Using Off-Axis illumination, 100 nm line/space patterns were resolved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several 193 nm photoresists from various vendors were investigated using a Micrascan 193 in a cluster configuration. The critical dimensions (CD) investigated were 180 nm and below for dense lines and isolated lines. Contact holes were also evaluated. The photoresist process performance was quantified using a CD SEM, cross section SEM and electrical probe. Photoresist processes were controlled so that the effects of process on CD variations were minimized. Different photoresists process latitude were compared and evaluated for CD uniformity, depth of focus and exposure latitude. The photoresists evaluated were Resist A, Resist B, Resist C, Resist D, Resist E and Resist F. The spin curve and swing curve of these photoresists were studied at different thickness range. Standard and off-axis illumination modes were studied. Focus auto calibration and illumination uniformity were run before the actual exposure of the wafers to ensure the tool was within specification. Send ahead wafers were exposed to choose the nominal exposure. The optimized conditions of the process were chosen based on the availability of resist quantity. E-probe wafers were exposed and analyzed. Wafers for Cross Section SEM were exposed and broken to evaluate line width on different resists for dense, isolated lines and contact holes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The improvement of the transparency of photoacid generators (PAGs) has an researchers' attention for 193-resist application. In this study, sulfonium salt was chosen as a target PAG. Triphenyl sulfonium salt (TPS) showing strong absorption at 193 nm was selected as a standard PAG. Starting from the ordinary compound, designing several 193-specific PAGs was attempted. Molecular orbital (MO) calculation was used for the estimation of transparency of PAGs. The calculated absorption results fit in with observed absorption spectra of synthesized PAGs in poly(methyl methacrylate) matrix. Furthermore, MO calculation illustrated that polycyclic aromatic systems based on (pi) -system extension concept should be preferable in terms of transparency at 193 nm. A new PAG was synthesized based on the (pi) -extended concept. The resist comprising the new PAG was evaluated in order to verify the effect of transparency improvement. The resist provide good lithographic performances, vertical wall angle without deterioration of photospeed, The MO calculations have materialized a 193-specific PAG for further improvement of resist performances.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Tetracyclododecene (TCD) was employed as a key alicyclic structure because TCD-based resist system with high carbon content would show better lithographic performance and better etch resistance than norbornene-based ones. Since hydrophilicity is inherently required in the TCD-based system for good developability, several TCDs functionalized with hydroxyl group were synthesized by Diels-Alder reaction. Calculation by the group contribution methods was carried out in order to estimate the hydrophilicity of the TCDs. Several functionalized TCD-alt-maleic anhydride (MAH) alternating polymers were prepared by free radical polymerization and the alkaline solubility of those polymers were determined using DRM. An optimized resist showed good lithographic performances when a conventional illumination (0.63 NA, (sigma) equals 0.65) is used with a binary mask. The resist could print 110 nm and 100 nm lines on SiON with good proximity bias and large focus latitude. The resist also exhibited better etch resistance than norbornene-based resist system under various etch conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports on the use of trimethyl sulfonium hydroxide as a base additive for 193 nm applications, which is found to stabilize the latent image as well a act as a photodecomposable base. Delay time stability (exposure to post-exposure bake) of formulations consisting of trimethylsulfonium hydroxide is compared to that of a non- photodecomposable base (diethanolamine) in both methacrylate- and cycloolefin-based 193 nm resists. Resist formulations made using the trimethylsulfonium base were stable for more than one hour, while the reference formulation with diethanolamine showed T-top formation within 10 minutes delay time under the same conditions. The trialkylsulfonium hydroxide base additives were found to be photodecomposable by measuring the acid produced upon exposure. Compared to a non- photodecomposable base containing resist, the photodecomposable base containing resist produced more acid in the exposed areas under identical PAG/BASE molar ratios.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Acrylate monomers containing alicyclic side chains featuring a series of polar substituent groups were assumed to be model compounds. Solubility parameters were calculated for the corresponding acrylate polymers. These acrylate monomers were synthesized using a novel aerobic oxidation reaction employing N-hydroxyphtalimide (NHPI) as a catalyst, and then polymerized. These reactions were confirmed to be applicable for the mass-production of those compounds. The calculation results agreed with the hydrophilic parameters measured experimentally. Moreover, the relationship between the resist performance and the above-mentioned solubility parameter has been studied. As a result, a correlation between the resist performance and the calculated solubility parameter was observed. Finally, resolution of 0.13-micron patterns, based on the 1G DRAM design rule, could be successfully fabricated by optimizing the solubility parameter and the resist composition.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we have shown the progress we have made in improving reactive-ion-etch stability and lithographic performance of IBM 193 nm resist materials. Using selectively functionalized cyclicolefins, we have developed 193 nm resists with etch stability and post-etch surface roughness comparable to those of the matured, state-of-the-art DUV resists. Furthermore, we have also demonstrated dramatically improvement in dense line (100 nm 1:1 L/S) and semi-dense line (< 100 nm 1:2, 1:3 L/S) resolution using resolution enhancement techniques such as alternate phase shift mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photoresist using maleic anhydride/cycloolefin copolymer is a leading candidate for the 193 nm photolithography. Until recently, the efforts to improve 193 nm photoresist have been focused on resolution and dry-etch resistance. Therefore, we have synthesized some kinds of matrix resins and additives containing alicyclic group and acid labile group. The matrix resin is alternating copolymer obtained by free radical polymerization of maleic anhydride and cycloolefinic derivatives. And, the additives have a low molecular weight containing alicyclic group and acid labile group. The additives not only serve as dissolution inhibitors but also improve the pattern profile and dry-etch resistance. In this paper, we will describe the approaches to the resist materials, which are involved in our photoacid generator concept.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have designed and developed a high resolution 193 nm bilayer resist system based on alternating copolymers of silane substituted norbornene and maleic anhydride. We have utilized a combination of acid labile silane functionalities and acid stable silicon groups in this resist development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Evangelia Tegou, Evangelos Gogolides, Panagiotis Argitis, Ioannis Raptis, George P. Patsis, Nikos Glezos, Zoilo C. H. Tan, Kim Y. Lee, Phuong T. Le, et al.
An epoxidized novolac resist (EPR) has been evaluated for high resolution negative and positive tone electron beam lithography. EPR is a chemically amplified experimental resist developed in 'Demokritos' for e-beam lithography. It is characterized by high resolution, high sensitivity and very good post-exposure bake (PEB) latitude. Wet development after the post exposure bake (PEB) step gives a negative tone process while silylation and dry development gives a positive tone process. In this work, EPR's high resolution capabilities (below 0.25 micrometer) are demonstrated for both processes. Critical process parameters such as the photo acid generator (PAG) content of the resist, the PEB temperature and the effect of the delay time between exposure and PEB are examined. Delay effects are studied both for directly e-beam written resist profiles as well as for silylated profiles. The experimental work is accompanied by detailed modeling of lithographic processes, including acid diffusion, gel formation, silylation and delay effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to shrink minimum feature sizes, many next-generation resists are being developed. One novel resist, based on a hyperbranched, dendritic polymer chemistry, is examined and compared to UVII-HS and APEX-E standard resists in order to determine its effectiveness and manufacturability. Data to date shows that many parameters of the dendritic hyperbranched resist are quite comparable to these standard resists: it is sensitive to 35 kV e-beam at 6uC/cm2, i-line (365 nm) at 600uC/cm2 and 248 nm light. The resist is nearly as resistant to etching as current resist technologies. It can also act as either a positive or negative tone resist. Preliminary line edge roughness data show that the dendrimer resist appears to be at least comparable if not superior to standard resists in this regard as well. The expected benefits envisioned in the development of dendritic resist materials (by Frechet group, ref 1) appear to be attainable in practice. Namely that higher polymer density and improved geometry allow smaller end-to-end chain lengths.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The application of a hyperbranched polymer with its globular architecture as a chemically amplified resist system is demonstrated. These hyperbranched poly(esters) based on 3,5- dihydroxybenzoic acid and 4,4-bis(4-hydroxyphenyl)valeric acid and obtained by a polycondensation process at high temperatures. Once obtained, the hyperbranched polymers are functionalized with acid and thermally labile t-BOC groups by reaction of their phenolic groups with di-t-butyl dicarbonate in the presence of a catalytic amount of potassium t-butoxide. These globular materials have number average molecular weights (Mn) in the range of 5,000 - 20,000 with polydispersities of 1.5 - 2. Exposure of the hyperbranched resist material formulated with a photoacid generator was carried out using a direct-write electron-beam (e-beam) tool operating at 50 keV with doses of 15 - 40 (mu) C/cm2. Development of these resist materials can be accomplished in either aqueous base developer or organic solvent, thereby allowing access to both the positive and negative tone images. Feature sizes of 100 nm are readily obtained from these unoptimized materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several new poly(benzyl ether) and poly(benzyl ester) dendrimers that incorporate acid- and thermally- labile peripheral groups have been synthesized. Tertiary butyl ester terminated poly(benzyl ether) dendrimers were synthesized using (alpha) -bromo-t-butyl acetate in the preliminary protection step to afford the first generation alcohol. A standard bromination of the focal point benzylic alcohol was used for the activation step, while standard Williamson ether conditions were used for the coupling steps to afford higher generation poly(benzyl ether) dendrons. Tertiary butyl ester terminated dendrons were then coupled to a difunctional core to produce the [G-3] dendrimer. Tertiary butyl carbonate (t-Boc) terminated poly(benzyl ester) dendrimers were also synthesized. This class of dendrimers was synthesized by first protecting monomeric building block 3,5-dihydroxybenzaldehyde with di-t-butyl dicarbonate. A reductive activation step afforded the [G-1] alcohol. The growth steps were accomplished by either Mitsunobu etherification with 3,5- dihydroxybenzaldehyde or by esterification with 5- hydroxymethylisophthalic acid. Finally, coupling of the benzyl alcohol dendrons to a polyfunctional core afforded second and third generation dendrimers. Chemically amplified resists formulated from both t-butyl ester and t-Boc terminated dendrimers show high sensitivity to DUV and e-beam irradiation. Feature sizes well below 100 nm have been routinely patterned using e-beam lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The impact of alicyclic protective groups on acid-labile substituents in a vinylphenol-methacrylate-based chemically amplified positive resist was investigated. The resist consists of the copolymer of vinylphenol and adamantyl methacrylate (VP/AdMA) with triflate onium salt as a photo- acid generator. The alicyclic protective groups in our system show a higher reactivity and higher hydrophobicity than those of the tert-butyl group, which is widely used in chemically amplified resists. The resists containing the alicyclic protective group resolved 0.09-micrometer hole patterns at 6 (mu) C/cm2, and a resist with a base additive resolved 0.12-micrometer line and space patterns at 9.0 (mu) C/cm2 using a 50-keV EB lithography system with a 2.38% TMAH aqueous solution as the developer. The dry etching durability of resists containing the alicyclic group was also compared with resists containing the tert-butyl group and with polyvinylphenol (PVP). The dry etching durability of our resists for a C4F8 plasma was 1.3 times superior to that of resist containing the tert-butyl group, and 1.1 times better than that of PVP. This means the thickness of film in pattern fabrication can be reduced to obtain a higher sensitivity and higher resolution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The requirements for better control, linearity, and uniformity of critical dimension (CD) on photomasks in fabrication of 180 and 150 nm generation devices result in increasing demand for thinner, more etching durable, and more sensitive e-beam resists. Novolac based resists with chemical amplification have been a choice for their sensitivity and stability during etching. However, difficult CD control due to the acid catalyzer diffusion and quite narrow post exposure bake (PEB) process window are some of the major drawbacks of these resists. SU-8 is recently introduced to the market negative photoresist. High sensitivity, fairly good adhesion properties, and relatively simple processing of SU-8 make it a good substitution for novolac based chemically amplified negative e-beam resists in optical mask manufacturing. The replacement of traditional chemically amplified resists by SU- 8 can increase the process latitude and reduce resist costs. Among the obvious drawbacks of SU-8 are the use of solvent- based developer and demand of oxygen plasma for resist removal. In this paper the use of SU-8 for optical mask manufacturing is reported. All steps of resist film preparation, exposure and development are paid a share of attention. Possibilities to use reactive ion etching (RIE) with oxygen in order to increase resist mask contrast are discussed. Special exposure strategy (pattern outlining) was employed to further improve the edge definition. The resist PEB temperature and time were studied to estimate their weight in overall CD control performance. Specially designed test patterns with 0.25 micrometer design rule could be firmly transferred into a chromium layer both by wet etching and ion milling. Influence of exposure dose variation on the pattern CD change was studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DNQ-Novolak based photoresists are applied in many fields of microstructure technology. A common feature of such resist structures (>10 micrometer) described in the literature is a concave profile with the narrowest part at approximately 2/3 of the resist height. By newly including effects of residual solvent concentration, the simulation program accurately describes characteristic resist profiles for AZ4562 between 10 and 100 micrometer resist thickness. The program calculates the vertical distribution of the residual solvent concentration by modeling the evaporation during prebake as a three step process: diffusion of solvent within the resist film to the surface, a transfer to the ambient air described by a phase equilibrium and a transport away from the surface described by convection. Required parameters of the model have been obtained by fitting measured evaporation rates as function of time with the prebake temperature as a parameter to calculated ones. Resist exposure is simulated in vertical and one lateral dimension using the well known Dill's theory with ABC-parameters for DNQ resists. The development process is described by percolation theory where the residual solvent concentration increases the percolation parameter and therefore the local development rate. This paper describes details of the mathematical models used, demonstrates good agreement between experimentally observed profiles and simulated ones and shows that the influence of process parameters such as prebake temperature or time on resist profiles can be accurately simulated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes experiments aimed at developing a new i- line sensitive negative tone resist for high-energy implant applications. Specifically, key parameters such as outgassing, thermal stability and resolution will be addressed. The resist is targeted for implant layers ranging from 1 micrometer to 4 micrometer in thickness, with a target CD of 0.5 micrometer to 2 micrometer, respectively. It has been reported previously that negative resists display little to no outgassing when used in conventional implant systems. It is also important to note that negative resists tend not to exhibit the swelling problems seen with positive systems when exposed to organic edge bead removers. These and other benefits of negative resists will be identified and described in detail in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photolithography is a key technology driver enabling next generation processes. As line widths decrease to 0.18 micrometer and below, the critical size of particulate contamination decreases proportionately. The implementation of filtration below 0.1 micrometer within existing dispense systems raises concern as the removal rating of the filter approaches the size of large molecular weight components of the photoresist. This study was undertaken in order to determine the effects, if any, of 0.05 micrometer and finer filtration on photoresist performance. Utilizing 248 nm DUV resist, filters were tested in two latest generation dispense pumps, one nitrogen pressurization, and the other having a stepper motor and diaphragm. The coated 200 mm wafers were exposed at increasing exposure dose and focus in a DUV scanner to produce 0.18 micrometer features. This study concludes that as the filter removal rating became finer, the resist performance in terms of photospeed, process window or thermal stability did not change. This indicates that, using existing dispense systems, photoresists can be filtered as fine as 0.03 micrometer without significant polymer shearing or the unintentional removal of important materials from the resist. Based on these data, appropriate protection in terms of particle removal is possible as line widths necessitate the use of finer filters in resist dispense pumps.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The pattern collapse behavior of a set of 193 nm resists in high aspect ratios was quantified. For all the resists investigated a general behavior could be observed: the collapse did not only depend on aspect ratio but also on pitch. With higher aspect ratio/pitch (normalized aspect ratio: NAR) all the resists go in a sigmoidal step from no collapse to total collapse. Surfactants in the developer did not have a consistent effect on pattern collapse. Resists of different polymer structure showed a very different tendency to collapse: acrylic resists collapse earlier than cyclo- olefinic resists. It could be deduced that pattern collapse will be a significant problem starting at the 130 nm node, if the film thickness range of the SIA roadmap are maintained. Comparison with data obtained for DUV resists showed that pattern collapse might limit the application of DUV resists in NGL. The modeling work at the University of Wisconsin shows the big impact of thermo-mechanical thin film properties on the pattern collapse problem.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The authors propose the establishment of a new industry standard methodology for testing the environmental stability of chemically amplified chemical resists. Preparatory to making this proposal, they developed a pertinent test apparatus and test procedure that might be used uniformly as an industry-wide best practice. To demonstrate and validate their proposed methodology, the authors subjected two different 193 nm chemically amplified photoresists to test conditions in the 'torture chamber,' simulating actual lithographic environmental scenarios. Depending on the variables of each test run (e.g., different resists, different resist thicknesses, different pollutants, different concentrations, and different humidity levels), a variety of defects were noted and described quantitatively. Of the three contaminants tested, ammonia had the strongest effect. The thin resists were more strongly affected by the contamination.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Synthesis and structure of novolaks continue to be investigated due to their significant influence on the performance of photoresists. Previously a two-step procedure was reported which enables the manufacturer to vary the monomer composition and order in novolak molecules in a wide range which then allows for the tailoring of the resin properties. Recent reinvestigation of the molecular structure of these novolaks applying gel permeation chromatography and matrix-assisted laser desorption/ionization time-of-flight mass spectrometry has given very interesting new insight into the molecular structure of the two-step synthesis novolaks. Coupling of the two analytical methods provides a new powerful tool to get detailed information on the molecular architecture which cannot be deduced by other methods. Three species of novolak chains have been found. This analytical approach can generally be applied to explore the structure of novolaks made of monomers differing in their molar masses. Using the two- step novolaks high resolution positive and negative tone photoresists for MEMS applications and lift-off processes, respectively, with high alkaline and etch resistance can be produced.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Poly(vinyl alcohol-co-(alpha) -trifluoromethyl vinyl alcohol) (PVA-co-CF3PVA) protected with an acid cleavable group was prepared as a single-layer photoresist for use in 157 nm VUV lithography. It was found that the (alpha) -trifluoromethyl substituent renders PVA-co-CF3PVA readily soluble in 0.262 N TMAH. The protected polymer can be spin-coated from PGMEA and preliminary studies using 248 nm exposure showed a THP protected PVA-co-CF3PVA undergoes chemically amplified deprotection with a clearing dose of approximately 15 mJ/cm2. Using a VUV spectrometer, absorption coefficients of approximately 3 micrometer-1 were observed at 157 nm with PVA-co-CF3PVA and THP protected PVA-co-CF3PVA. Detailed lithographic evaluation of the polymer is underway and design strategies for 157 nm single-layer photoresists will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The surface composition of chemically amplified photoresists depends upon the photoacid generator used and the processing. We have used x-ray photoelectron spectroscopy to determine the surface composition of resists using four different photoacid generators: trifluoromethanesulfonate, perfluorobutanesulfonate, perfluorooctanesulfonate, and perfluorobenzenesulfonate. The fluorine in each of these PAGs was used as a tag of their presence on the surface. The surface F concentration generally increased after post- exposure bake. The F concentration tended to increase further after a short bake, but usually decreased after longer baking times. These results suggest that the surface concentration of F reflected competition between bulk diffusion of the photoacid leading to surface segregation and its volatilization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.