PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Over the last decade SEMATECH has provided significant guidance in predicting mask costs and their potential
effects on the cost of manufacturing semiconductors. Additionally, these projections have been used to
appropriately fund activities that could have the most impact on reducing mask costs, improving quality and cycle
time. The most recent cost projections provide a comprehensive look at the impact of improvements to the mask
fabrication process. We will provide projections that clearly indicate that appropriately funded mask technologies
can have a significant impact on manufacturing yields and hence, cost and cycle time.
While historical mask cost projections were realistic, the new projections represent the best estimates for mask costs
over the next several years based on the current mask technology and processes1. These projections are significantly
more optimistic than previous estimates. These changes are due primarily to the introduction of new mask repair
technologies, improvements in focused ion beam (FIB), nano-machining and femto-second laser repair.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The concept of LEEPL is based on the discovery that there is a narrow energy space around 2KV of e-beam
proximity lithography where a potential solution for NGL exists. [1]
LEEPL Corporation and LEEPL Technology Consortium consisting of 32 companies have been formed in 2000 and
2001 respectively for the purpose of developing LEEPL technology. The development has been carried out by 1)
building α-tool, 2×β-tools, a pre-production model (LEEPL-3000) and 2) by building the infrastructure supporting
LEEPL technology such as development and supply of LEEPL masks, resist materials, mask inspection and repair tools.
Here LEEPL's overall status and future prospect will be presented for the first time on the base of the past 5
years' intensive effort.
The content of the presentation include LEEPL Development Overview, Exposure and Alignment Systems,
Performance of Resolution, Resist Process, Throughput, and Cost of Ownership Comparison, and LEEPL Mask and
Related Technologies. In conclusion the potential of LEEPL as an alternative solution for future semiconductor
lithography beyond 45 nm node device application will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Microelectronics industry leaders routinely name mask cost and cycle time as top issues of concern. In 2002, a
survey was created with support from SEMATECH and administered by SEMI North America to gather information
about the mask industry as an objective assessment of its overall condition. The survey is designed with the input of
mask technologists from semiconductor manufacturers, merchant mask suppliers, and makers of mask equipment.
The 2005 survey was the fourth in the current series of annual surveys. The survey data can be used as a baseline for
the mask industry and the microelectronics industry to gain a perspective on the technical and business status of the
mask industry. The results may be used to guide future investments on critical path issues. Questions are grouped
into categories: general business profile information, data processing, yields and yield loss mechanisms, delivery
times, returns and services, operating cost factors, and equipment utilization. Because the questions covering
operating cost factors and equipment utilization were just added to the survey, no trend analysis is possible. Within
each category are many questions that together create a detailed profile of both the business and technical status of
the mask industry. The assessment participation has changed from year to year. The 2005 survey, for example,
includes inputs from eight major global merchant and captive mask manufacturers whose revenue represents
approximately 85% of the global mask market.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Invited original paper by the authors of the 2005 Bacus Best Poster Paper
The pervasive use of advanced reticle enhancement technologies demanded by VLSI technology scaling leads to dramatic increases in mask costs. In response to this trend, multiple project wafers (MPW) have been proposed as an effective technique for sharing the cost of mask tooling among up to tens of prototype and low volume designs. Previous works on MPW reticle design and dicing have focused on the simple scenario in which production volumes are known a priori. However, this scenario does not apply for low- and medium-volume production, in which mask manufacturing is typically started when only rough estimates of future customer demands are available. In this paper we initiate the study of MPW use for production under demand uncertainty and propose efficient algorithms for two main optimizations that arise in this context: reticle design under demand uncertainty and on-demand wafer dicing. Preliminary experiments on simulated data show that our methods help reducing the cost overheads incurred by demand uncertainty, yielding solutions with a cost close to that achievable when a priori knowledge of production volumes is available.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
ASML's first EUV alpha demo tool (ADT) is ready for lithographic set up, driving the need for qualified and fully compliant EUV masks. EUV reflection masks are different in blank and mask processes compared to current technologies e.g. masks for 193nm. Although in recent years individual EUV mask parameters have been demonstrated, it is only with the fabrication on the ADT mask set that fully compliant masks have been made. In this paper we discuss the typical requirements of a EUV full-field mask, and show first results from achieving the important milestone of fabricating EUV masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet lithography (EUVL) uses a reflective mask with a multilayer coating. Therefore, the illumination is
an off-axis ring field system that is non-telecentric on the mask side. This non-zero angle of incidence combined with the
three-dimensional mask topography results in the so-called "shadowing effect". The shadowing causes the printed CD to
depend on the orientation as well as on the position in the slit and it will significantly influence the image formation [1,2]. In addition, simulations show that the Bossung curves are asymmetrical due to 3-D mask effects and their best focus
depends on the shadowing angle [3]. Such tilts in the Bossung curves are usually associated with aberrations in the
optical system. In this paper, we describe an approach in which both properties can be disentangled.
Bossung curve simulations with varying effective angles of incidence (between 0 and 6 degrees) show that at discrete
defocus offsets, the printed linewidth is independent of the incident angle (and thus independent of the shadowing effect),
the so-called iso-sciatic (constant shadowing) point. For an ideal optical system this means that the size of a
printed feature with a given mask-CD and orientation does not change through slit. With a suitable test structure it is
possible to use this effect to distinguish between mask topography and imaging effects from aberrations through slit.
Simulations for the following aberrations tested the approach: spherical, coma and astigmatism.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme Ultraviolet Lithography (EUVL) is one of the leading candidates for Next-Generation Lithography in the sub-45-nm regime. One of the key components in the development of EUVL is understanding and characterizing the response of the mask when it is electrostatically chucked in the exposure tool. In this study, finite element (FE) models have been developed to simulate the reticle / chuck system under typical exposure conditions. FE simulations are used to illustrate (a) the effects of the nonflatness of the reticle and chuck, (b) the image placement errors induced by back-side particulates, (c) the influence of the coefficient of friction between the reticle and chuck during exposure scanning, and (d) the effects of contact conductance on the thermomechanical response of the reticle. The focus of this paper is to illustrate that mechanical modeling and simulation has now become a fundamental tool in the design of electrostatic pin chucks for the EUVL technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Immersion lithography offers the semiconductor industry the chance to extend the current ArF processes to smaller
nodes before switching to a shorter wavelength. The move to immersion will require increased attention to the
photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners
move up to at least 0.93 and even higher. Feature sizes on the photomask become comparable or even smaller than the
wavelength and hence act more like wire grid polaris ers which lead to polarisation effects. As of today AIMSTM fab
tools are in operation worldwide. The novel AIMSTM fab 193i tool with a maximum NA of 0.93 is the latest aerial image
measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments are numerical
aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to
non-polarized illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different
settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of
different photomask features are explored by comparing measurement results using linear polarised illumination parallel
and perpendicular to line and space patterns and non-polarised illumination. Different MoSiON embedded phase shift
masks have been investigated at the highest possible NA=0.93 and for different half-pitches from 500nm to 260nm, the
latter corresponding to the 65nm node at the wafer level.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Today novel RET solutions are gaining more and more attention from the lithography community that is facing new challenges in attempting to meet the new requirement of the SIA roadmap. Immersion, high
NA, polarization, and mask topography, are becoming common place terminology as lithographers continue to explore these areas.
Here with, we compare a traditional 6% MoSi based EAPSM reticle and a high transmission solution made of a SiON/Cr film stack. Insights into the manufacturability of high transmission material are
provided. Test patterns have been analyzed to determine the overall impact of imaging performance when used with immersion scanners and polarized light. Some wafer results provide reliability of simulations, which are used to make further investigation on polarization and immersion effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper demonstrates the implementation of an imaging algorithm that extends the Hopkins
approach in order to cope with the demanding requirements of state of the art lithography. Rigorous
mask simulation for oblique angles of incidence is integrated into our model of a lithographic
projection system. In order to show the capabilites, Chromeless Phase Lithography (CPL) masks
are studied with the new implementation. Immersion conditions are applied and a benchmark
against the Hopkins approximation is carried out. An impact on aerial-image formation and on
lithographic-process simulation is shown. The approach helps to consider off-axis illumination-effects
in mask optimization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For 45nm lithography and beyond, polarization and other electromagnetic effects such as surface plasmons may begin
to affect the transmission through a photomask. Such phenomena are highly polarization sensitive, and may amplify the
effects of line-edge roughness (LER) and variations in mask composition. A reduction in the mask material conductivity
can mitigate the impact of these effects, but more accurate simulation is required to predict these effects well.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report on the calibration approach and results as well as the application of special test masks for the qualification
process of projection lens systems for advanced wafer steppers and scanners. We concentrate here on two
different sets of test masks. One test mask set was designed for aerial image based contrast metrology while the
other set of qualification masks was applied to provide an absolute reference for the magnification of the lens
system. On the contrast test masks we measured variations of CD ratios of about 10% for differently oriented
structures. Additionally we compared the lithography lens magnification obtained by using both grating test
masks calibrated by the PTB with a pitch ratio uncertainty of 5 x 10-7 with that obtained by employing alternative external scale calibration standards.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Different type of CD metrology instrumentation is in use today for production control of photomasks, namely SEM,
AFM as well as optical microscopy and optical scatterometry is emerging, too. One of the challenges in CD metrology is
to develop a system of cross calibration which allows a meaningful comparison of the measurement results of the different
systems operated within a production environment. Here it is of special importance to understand and also to be able
to simulate the response of different metrology instrumentation to variations in sidewall profile of features on photomasks.
We will report on the preparation of a special COG test mask with an intended variation of sidewall features
and the subsequent metrological characterization of this mask in different type of CD instrumentation. The discussion of
the measurement results will be accompanied by a discussion of the simulation of instrument response to feature sidewall
variation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A 10-year old MueTec2010, white light CD measurement system, installed at DNP Photomask Europe and previously
owned by STMicroelectronics, has been upgraded to fulfill the high-end optical CD measurement requirements, and to
add the film thickness measurement capability. That is the ultimate upgrade, consisting of two new computers with
WINDOWS 2000 operating system, a new 150X measurement objective, a new 16-bit CCD digital camera, a new tube
lens for the old Leica Ergoplan microscope, and the NanoStar software with the pattern recognition option.
The upgrade yielded an average 45% repeatability improvement for isolated and dense lines and spaces, with 1.2nm
average repeatability in a 0.3-10μm CD nominal range. Contact holes report an average 50% repeatability improvement,
with 2.5nm average repeatability.
The improved precision allows a ±2-nm CD calibration and correlation down to 0.4μm CD nominal. Overall, the
upgraded MueTec2010 shows same or better performance than the already installed Leica LWM250UV CD
measurement system, despite the longer illumination wavelength of the former.
The improved short and long term repeatability reduced the Gauge RandR figure from 24% to 11% at ±20nm tolerance,
which qualifies the system for high-end binary mask down to 0.5μm CD nominal.
The feasibility to calibrate the system for 248nm Molybdenum Silicide Phase Shifting Masks is currently being
investigated.
In addition to that, the new measurement algorithms, the capability to take multiple measurements within the FOV, and
the pattern recognition capability included in the NanoStar software gave a 75% throughput boost to the fully automated
macros for the weekly calibration tests of the laser writing tools, compared to the LWM250UV run time.
With little additional hardware and software, the system has also been upgraded to include the film thickness
measurement capability for the PSM resist coating process (2nd exposure), without the need for a dedicated, more
expensive system.
Two years ago, this 10-year old MueTec2010 system was about to be deinstalled. Today, thanks to creative thinking at
DNP Photomask Europe and to the enthusiastic and cooperative MueTec approach, it is ready for another 10 years of
honored service with up-to-date performance and with the additional film thickness measurement capability. This
upgrade has by far exceeded the technical and return-on-investment expectations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Spot sensor Enabled Reticle Uniformity Measurement (SERUM) is a fast and accurate technique
for measuring the CD fingerprint of a reticle. It is an alternative for the traditional SEM or optical
based reticle line width measurements. The reticle fingerprint is obtained by scanning a spot sensor
located on the wafer stage of the ASML Step & Scan system, and measuring the reticle
transmission on actinic wavelength.
Since the reticle is the main contributor to the 65nm CDU budget, Step & Scan system qualification
is only possible when correcting for reticle errors. Especially for scatterometry based measurement
an extensive reticle qualification is required. With the traditional methods it involves measuring
multiple positions within the gratings to average-out the intra-grating variation. This results in an
expensive and time consuming process (5 to 10 hours).
SERUM reticle metrology has several applications. ASML specific Step & Scan system qualification
can be improved in correcting for reticle errors. The reticle can be inspected on actinic wavelength
for changes due to pellicle non-uniformity, transmission losses and contamination. SERUM data
can also be used as input for DoseMapperTM to correct for global reticle line width non-uniformity.
This metrology allows reticle measurement on 182 locations within 70 seconds, with a repeatability
of 3σ < 0.35nm (reticle level).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There is no doubt that shaped beam systems have been well established in the mask write community since the
introduction of the 130nm technology node. Moreover, they are successfully advancing to conquer also the wafer direct
write market.
To be able to handle today and in the near future the tremendous data volumes with their characteristic complexity as
well as to make use of such indispensable methods like PEC and Fogging corrections, new, sophisticated solutions are
necessary to master the challenging 45nm technology node. However, we are aware that the 45nm node presents only an
intermediate step, because, according to the international roadmap, we soon will be confronted with the hardware and
software requirements of the next, the 32nm technology node. In this context it becomes more and more important to
consider potential showstoppers, in our case the data preparation process
To investigate this complex subject a Linux cluster computer featuring 3.6GHz clock rate CPUs, and a software package
supporting distributed computing with a 64Bit version and address units down to 0.1nm were used. The work was
focused on the performance of pattern samples down to the 45nm node. Both mask and wafer data as well as NIL
template manufacturing were considered, data prep times and CPU loads were analysed. Furthermore, the user-friendly
Leica Interface for Data Preparations (LINDA) was applied.
In addition, an outlook to future hardware/software configurations for mastering the challenges of the 32nm node will be
given. The results presented in this paper prove that data preparation is not the bottleneck of current and future
applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask data file sizes are increasing as we move from technology generation to generation. The historical 30% linear
shrink every 2-3 years that has been called Moore's Law, has driven a doubling of the transistor budget and hence feature
count. The transition from steppers to step-and-scan tools has increased the area of the mask that needs to be patterned.
At the 130nm node and below, Optical Proximity Correction (OPC) has become prevalent, and the edge fragmentation
required to implement OPC leads to an increase in the number of polygons required to define the layout. Furthermore,
Resolution Enhancement Techniques (RETs) such as Sub-Resolution Assist Features (SRAFs) or tri-tone Phase Shift
Masks (PSM) require additional features to be defined on the mask which do not resolve on the wafer, further increasing
masks volumes. In this paper we review historical data on mask file sizes for microprocessor, DRAM and Flash memory
designs. We consider the consequences of this increase in file size on Mask Data Prep (MDP) activities, both within the
Integrated Device Manufacturer (IDM) and Mask Shop, namely: computer resources, storage and networks (for file
transfer). The impact of larger file sizes on mask writing times is also reviewed. Finally we consider, based on the trends
that have been observed over the last 5 technology nodes, what will be required to maintain reasonable MDP and mask
manufacturing cycle times.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The role the Optical Rule Check (ORC) in the design flow and future directions are discussed, the benefit of the model-based
methodology is illustrated by using realistic layout situations. Concepts for implementation of Litho-friendly
Design (LfD), i.e., of layout optimization and lithography simulations in the pre-tapeout design flow are developed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The progressive mask defect problem is an industry-wide mask reliability issue. Even if masks are determined to be
clean upon arrival from the mask supplier, some of these masks can show catastrophic defect growth over the course of
production usage in the fab. The categories of defects that cause reticle-quality degradation over time are defined as
progressive defects, commonly known as crystal growth, haze, fungus or precipitate. This progressive defect problem
has been around for more than a decade and was observed at almost every lithographic wavelength. This problem is
especially severe at 193nm lithography. Triggering the increased severity are shorter wavelength lithography - where
the photons are highly energized - and the concurrent transition to 300 mm wafers, which require photomasks to endure
more prolonged exposure as compared to 200 mm wafers. Both embedded phase shift masks (EPSMs) and chrome-on-glass
masks are affected by progressive defects. These defects are generally found on the patterned surface underneath
the pellicle (on clear, half-tone or chrome patterns), as well as on the backside surface of the masks. Past cases have
indicated that this problem mainly starts on the scribes and borders, with emerging semi-transmissive contamination of
~100nm. These defects then propagate into the die area while growing in both size and opaqueness. Compositional
analysis has shown that the majority of these defects are ammonium sulfate. However, since significant effort focused
on the elimination of ammonium sulfate a new trend has emerged. Current studies show severe defect growth consists of
organic contaminants (ammonium oxalate, cyanuric acid etc.) on half-tone edges and on chromium edges. The sources
for progressive defect mechanisms are under investigation, though several candidates have been considered: maskmaking
materials and process residues (mainly ammonium or sulfate ions), the fab environment, or the stepper
environment. Controlling or balancing these sources may help to reduce the frequency at which these defects occur, but
thus far has been unable to eliminate the problem. With each successive device shrink, the resultant changes in
lithographic wavelength and processing within the mask fabrication facility and IC fab disrupt the fine balance among
the above suspected defect sources, resulting in the return of catastrophic progressive defect growth. Due to this
uncertainty, strict mask quality monitoring in the fab is essential. The ideal reticle quality control goal in a fab should be
to detect any nascent progressive defects before they become yield limiting. Hence, the masks should be monitored on
an established frequency that allows problem masks to be removed from production and sent for rework prior to
impacting device performance and fab yield.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photon induced haze resulting from sulfur residues that remain after cleaning and photoresist stripping is a key challenge
for 193 nm photomasks. In previously reported work, sulfur-free processes for cleaning and photoresist removal on mask
blanks were shown. Additional characterization and development of the cleaning and strip/clean processes are presented
here. For cleaning the particle adder stability, ammonia chemistry residue levels, and chrome oxide anti-reflection
coating (ARC) layer integrity were characterized. It was found that process modification was needed to provide
acceptable post-clean ammonia levels and reflectivity change per clean. A strip/clean process with acceptable window
for complete resist removal without ARC layer damage was found to be challenging and dependent on the mask
photoresist/ARC stack. Dry strip, wet strip, and combined dry/wet stripping approaches (all followed by wet clean) were
investigated. Oxidizing dry strip chemistry, while easily removing the bulk photoresist layer, gave unacceptable ARC
attack. For FEP photoresist an all-wet process was demonstrated, and for iP and NEB resists, promising results were
achieved with less oxidizing dry strip chemistry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Analyses of the effects of positive and negative chemically amplified resist (CAR) exposed by
Leica ZBA31H+ 20 KeV shaped e-beam lithography tool are investigated using CD-SEM. The
characterization data will present improved resolution, global CD uniformity and CD linearity. During last few years, several resists were used for masks making. The e-beam resists as ZEP and
PBS resists were used on Leica low acceleration voltage e-beam system previous years. In the
present investigation, the CA resist exposed by Leica ZBA31H+ 20 KeV writing system has been
investigated. The objective of the present work is directed toward that the CAR process improves
higher throughput than ZEP resist and promotes better performances than both ZEP and PBS
resists.
For the high exposed loading, to minimize writing time and fogging effect, and to control mean CD
and improve global CD uniformity, the negative CA resist performs better than positive CA resist.
TMC provides the actual measurement data obtained on CD-SEM for negative CA resist exposed
by Leica 20 KeV writing system. In this paper, we will also provide the applicable profile results
obtained on CD-SEM to confirm the feasibility for CAR mask be exposed by Leica 20 KeV
system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the continuing decrease of feature sizes in conjunction with both the enormous costs for current masks and
projections for future generations the area of mask repair has often been highlighted. Clearly, a viable repair
methodology going forward has the potential to significantly influence and reduce production costs for the complete
mask set. Carl Zeiss SMS had, in a concerted development effort with other Zeiss daughter companies, succeeded to
develop and deploy a novel mask repair tool capable of repairing specifically all types of advanced masks, such as quartz
binary masks, phase shift masks, EUV masks and S-FIL imprint templates. In addition to the pure technical capability of
the e-beam based approach a strong emphasis has been made towards the user friendliness and automation features of the
repair process as such.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The main nanoimprint lithography techniques are highlighted in this paper. In addition to details
concerning the materials used and the basic processing techniques, some of the major recent
developments are summarized. Critical issues are addressed and some ideas for improvement are
given. The paper aims to give an introduction to the techniques and a survey of the actual status
without going into the details of the specific techniques.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we demonstrate electron beam lithography at energies ranging from 2 keV to 100 keV, on sub-100 nm thick
resists. Such uniform and thin electron beam sensitive films can be deposited by evaporation or by plasma deposition.
Two examples of such resists are studied, QSR-5 which is a negative sterol based evaporated resist and QPR-P50 which
is a positive PECVD deposited fluoropolymer resist. AFM measurements demonstrate surface roughness smaller then
3 nm for QSR-5 and 0.7 nm for QPR-P50. In both cases, 50 nm features or better are patterned using electron beam
lithography on a template blank consisting of a glass substrate, coated with a 10 nm thick Cr transfer layer and a resist
layer. LER (3σ) is measured to be less then 8% for 50 nm wide lines in QSR-5 and of approx. 10% for 50 nm wide lines
in QPR-P50.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Uniform illumination of the mask plays an important role in current exposure tools and will be even more challenging
for hyper-NA systems. Arrays of refractive microoptics are the ideal solution for high transmission homogenising
elements since these arrays can provide very steep intensity profiles (top hat and other profiles), and
do not suffer from zero order losses like diffractive elements. This paper discusses monolithic elements based on
crossed cylindrical lenses with a fill factor close to 100%. The Simulations and measurements here presented
prove that microoptic arrays can be produced which provide a uniformity of the homogenized laser light in the
1% P-V range at numerical apertures above 0.3.
Refractive microoptic arrays do not change the polarization state of the transmitted light which is an important
prerequisite in immersion exposure tools. LIMO homogenizer sets are manufactured from fused silica and Calcium
fluoride and thus suitable for all DUV wavelengths at highest laser fluxes.
LIMO produces free form surfaces on monolithic arrays larger than 200 mm with high precision and reproducibility.
Surface test methods and the final UV-tests are presented, guaranteeing the performance for the applications.
Data gained with these tests are shown with regard to meeting the design parameters, reproducibility over
one wafer and reproducibility in large lots.
New concepts of "ready to use" pre-aligned homogenizer modules are shown and finally this paper illustrates results
of new refractive elements which provide additional functionality like e.g. hexagonal fields or poles. Applications
are for example pre-homogenization and pupil filling in illumination systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Immersion lithography seeks to extend the resolution of optical lithography by filling the gap between the final optical element and the wafer with a liquid characterized by a high index of refraction. Several engineering obstacles are associated with the insertion of the immersion fluid. One issue that has recently been identified is the deposition of the immersion liquid onto the wafer from the receding contact line during the scanning process; any residual liquid left on the wafer represents a potential source of defects. The process of residual liquid deposition is strongly dependent on the behavior of the receding three-phase contact line. This paper focuses on an experimental investigation of this behavior under conditions that are relevant to immersion lithography. Specifically, the static and dynamic contact angle and the critical velocity for liquid deposition are presented together with a semi-empirical correlation developed from these measurements. The correlation allows the film-pulling velocity to be predicted for a given resist-coated surface using only a measurement of the static receding contact angle and knowledge of the fluid properties. This correlation represents a useful tool that can serve to approximately guide the development of resists for immersion systems as well as to evaluate alternative immersion fluid candidates to minimize film pulling and defects while maximizing throughput.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Although changing the mask reduction factor from 4X to a larger value offers several technical advantages, previous attempts to enact this change have not identified enough clear technical advantages to overcome the impact to productivity. Improvements in mask manufacturing, mask polarization effects, and optics cost have not been thought to be sufficient reason to accept a reduced throughput and field size. This paper summarizes the latest workshop and discussion revisiting the mask reduction factor for 32nm half-pitch lithography with hyper-numerical aperture (NA) optical or extreme ultraviolet lithography (EUVL). The workshop consensus was strongly in favor of maintaining the current magnification ratio and field size as long as mask costs can be contained.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Alternating Aperture Phase Shift Mask (AAPSM) is one of the most effective approaches to improve the resolution of
logic gate structures for ArF lithography of the 65nm half-pitch node and beyond because AAPSM shows good
performance due to the high image contrast and the small mask error enhancement factor (MEEF).
For AAPSM, the issue of intensity imbalance between pi-space and zero-space is well known. In order to solve this
issue, several kinds of AAPSM, such as single trench with undercut, single trench with bias are used in production
application.
The fabrication of single trench with bias AAPSM requires that the quartz dry etch satisfies many conditions. The
etched quartz features must not only show excellent depth uniformity but also good etch depth linearity across a wide
range of feature sizes. However, in defocus conditions, the through-pitch image placement error becomes worse even
with good quartz etch depth linearity. The reason is that the phase error caused by mask topography is different
depending on the pitch.
In this work, we minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations. Based
on the results, we have fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging
impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner. We discuss the
feasibility of this method by comparison of through-focus and through-pitch image placement errors between wafer
printing, AIMS, and simulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase-shift mask (PSM) technology in combination with 193nm illumination remains a viable option for high
contrast imaging towards 45nm half-pitch applications. The advent of hyper NA (immersion) lithography increases the
imaging sensitivity towards the photomask properties, such as mask-induced polarization. In addition, the use of PSM
technology implies taking into account the inherent photomask topography effects for a balanced through pitch imaging. A
good quartz etch depth control of +/-1o through pitch is required for optimized wafer imaging [1]. Therefore, a new PSM
material stack was proposed based on a transparent etch stop layer (TESL) in order to meet the stringent phase depth
requirements beyond 65nm half-pitch [2]. This extra layer allows over-etching of the quartz, resulting in a good etch depth
linearity and uniformity.
This study examines the manufacturability and printability of TESL-based masks. We examine the effect of an
improved quartz etch depth linearity on the through-pitch process windows for a TESL-based alternating aperture (AA)PSM.
Moreover, due to the different stack of photomask material compared to a classical photomask blank, the impact on
printability is investigated by simulations, AIMS and wafer imaging. The image imbalance compensation by trench biasing
needs to be optimized for through-pitch process windows.
The actual depth and line width of the structures is systematically probed within the photomask field. Based
on photomask metrology data, rigorous electro-magnetic field simulations are compared to wafer prints, obtained on an
ASML XT1250Di ArF immersion scanner working with a 0.85NA projection lens and to AIMS results from Zeiss
AIMS fab 193i.
Furthermore, feature sizes on the order of the lithography wavelength induce photomask polarization effects in the
imaging path [3]. The degree of polarization is compared to the polarization behavior of a conventional PSM.
In summary, this study assesses the capability of TESL PSM towards the 65nm node through-pitch imaging.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The specification of the mask mean-to-target (MTT) and uniformity is related to functions as: mask error enhancement
factor, dose sensitivity and critical dimension (CD) tolerances. The mask MTT shows a trade-off relationship with the
uniformity. Simulations for the mask MTT and uniformity (M-U) are performed for LOGIC devices of 45 and 37 nm nodes
according to mask type, illumination condition and illuminator polarization state. CD tolerances and after develop inspection
(ADI) target CD's in the simulation are taken from the 2004 ITRS roadmap. The simulation results allow for much smaller
tolerances in the uniformity and larger offsets in the MTT than the values as given in the ITRS table. Using the parameters
in the ITRS table, the mask uniformity contributes to nearly 95% of total CDU budget for the 45 nm node, and is even larger
than the CDU specification of the ITRS for the 37 nm node. We also compared the simulation requirements with the current
mask making capabilities. The current mask manufacturing status of the mask uniformity is barely acceptable for the 45 nm
node, but requires process improvements towards future nodes. In particular, for the 37 nm node, polarized illumination is
necessary to meet the ITRS requirements. The current mask linearity deviates for pitches smaller than 300 nm, which is not
acceptable even for the 45 nm node. More efforts on the proximity correction method are required to improve the linearity
behavior.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Rigorous optical proximity correction (OPC) for 3D reticle effects is critical to the success of 193nm wavelength
immersion lithography implementation. The impact of 2D and 3D mask polarization and shadowing effects to 2D
imaging in ultra high Numerical Aperture (NA) low-k1 imaging is assessed by simulation. An end-to-end (ETE) dense
line 2D feature of various embedded (attenuated) phase shift mask (ePSM) with various material of film stack is studied.
Line-end pullback is shown correlated with mask shadowing under TE-polarized OAI. Polarized OAI phase calibrated
thinner mask absorber provides less shadowing, better 2D imaging window, and enables further scaling of mask feature
patterning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The paper analyses the factors which influence minimal features of detected adjacent defects during the die-to-database
inspection of reticles. The analysis of influence of a set of factors, describing an instrumental error of the automatic
reticle inspection system, and of a set of factors, describing a reticle patterning process, on various types of adjacent
defects is made. Some relations are cited, describing interrelation of the size of the minimal adjacent defect and the
pixel size of the automatic reticle inspection system. A concept of the optimum and preset sizes of the minimal detected
defect is introduced. The analysis of dependence of the number of false defects on the size of the preset minimal
detected adjacent defect is made, as well as a criterion to choose an optimum capability of detection of adjacent defects
is given.
In conclusion, parameters of automatic reticle inspection systems developed at Planar Concern are given, specifying the
adjacent defects detection capability. Also the parameters of the systems designed for 0.35 μm, 0.18 μm and 65 nm
processes are described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sub-Resolution Assist Features (SRAFs) are placed into patterns to enhance the through process imaging performance of
critical features. SRAFs are typically placed using complex rules to achieve optimal configurations for a pattern.
However, as manufacturing process nodes are growing increasingly complex, the SRAF placement rules will most likely
be unable to produce optimal performance on some critical features. A primary impediment to resolving these problems
is identifying poorly performing features in an efficient manner.
A new process model form referred to as a Focus Sensitivity Model (FSM) is capable of rapidly analyzing SRAF
placement for through process pattern performance. This study will demonstrate that an FSM is capable of finding suboptimal
SRAF placements as well as missing SRAFs. In addition, the study suggests that the FSM does not need to
comprehend the entire photolithography process to analyze SRAF placement. This results in simpler models that can be
generated before a manufacturing process enters its development phase.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ability to convert high resolution images from a Scanning Electron Microscope (SEM) of a printed
lithographic pattern to a GDS image file which can be input into modeling software (such as litho-simulation,
etc.) for rigorous analysis is a powerful tool. Its use can be expanded through the simplication of the SEM2GDS
conversion procedure by automation of the tasks. In this paper, we describe our SEM2GDS and SCAN
INTERFACE UNIT, which automates both SEM image collection and SEM2GDS conversion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Linewidth and etch depth control on the photomask is rapidly becoming a major concern in mask processing. In this paper, we report on a Scatterometry based metrology system that provides line width and etch profile measurements on Embedded PSMs on Intel's 65nm and 45nm node test masks. Measurements were made with Nanometric's Atlas-M reticle measurement system. Spectrum data obtained from plates were analyzed using Timbre Technologies' ODP analysis software. We characterized the CD uniformity, linearity, sidewall angle and thickness uniformity. Significant reduction in time per measurement is achieved when compared to CD-SEM. ODP Scatterometry reported a 2x reduction in the CD Uniformity compared to that reported from the SEM. This reduction is typically due to outliers reported by the CD-SEM that is averaged out in ODP Scatterometry. Good correlation to top-down CD-SEM and cross-sectional SEM is reported. R-squared correlation of >0.99 (ODP scatterometry to top down CD-SEM) is reported. Profile measurements from ODP show excellent match to cross-section SEM. The data show that Scatterometry provides a nondestructive way to monitor basic etch profile combined with relatively little CD metrology lag.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.