Paper
4 April 2011 Data preparation solution for e-beam multiple pass exposure: reaching sub-22nm nodes with a tool dedicated to 45 nm
Luc Martin, Serdar Manakli, Sébastien Bayle, Kang-Hoon Choi, Manuela Gutsch, Jonathan Pradelles, Jessy Bustos
Author Affiliations +
Abstract
Electron Beam Direct Write (EBDW) lithography is used in the IC manufacturing industry to sustain optical lithography for prototyping applications and low volume manufacturing. It is also used in R&D to develop advanced technologies, ahead of mass production. As microelectronics is now moving towards the 32nm node and beyond, the specifications in terms of dimension control and roughness becomes tighter. In addition, the shrink of the size and pitch of features significantly reduces the process window of lithographic tools. In EBDW, the standard proximity effects corrections only based on dose modulation show difficulties to provide the required Energy Latitude for patterning structures designed below 45nm. A new approach is thus needed to improve the process window of EBDW lithography and push its resolution capabilities. In previous papers, a new writing strategy based on multiple pass exposure has been introduced and optimized to pattern critical dense lines. This new technique consists in adding small electron Resolution Improvement Features (eRIFs) on top of the nominal structures. Then this new design is exposed in two successive passes with optimized doses. Previous studies were led to evaluate this new writing technique and establish rules to optimize the design of the eRIF. Significant improvements have already been demonstrated on SRAM and Logic structures down to the 16nm node. These results were obtained with a tool dedicated to the 45nm node. The next step of this work is thus to automatically implement the eRIF to correct large-scale layouts. In this paper, a new data preparation flow is set up for EBDW lithography. It uses the eRIF solution as a full advanced correction method for critical structures. The specific correction rules established in our previous studies are implemented to improve the CD control and the patterning of corners and line ends. Moreover, the dose and shape of the eRIFs are automatically tuned to best fit the nominal design. This work is done with "INSCALE®", the new data preparation software from ASELTA Nanographics. This data preparation flow is then applied on layouts down to the 22nm node. Comparisons with the standard dose modulation flow demonstrate that adding eRIFs significantly improves the process window and thus the resolution of e-beam tools. It also shows that the multiple pass exposure technique can be used as a specific correction method on large scale layouts.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Luc Martin, Serdar Manakli, Sébastien Bayle, Kang-Hoon Choi, Manuela Gutsch, Jonathan Pradelles, and Jessy Bustos "Data preparation solution for e-beam multiple pass exposure: reaching sub-22nm nodes with a tool dedicated to 45 nm", Proc. SPIE 7970, Alternative Lithographic Technologies III, 797019 (4 April 2011); https://doi.org/10.1117/12.879059
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Electroluminescence

Electron beam lithography

Line edge roughness

Electron beam direct write lithography

Lithography

Modulation

Semiconducting wafers

Back to Top