Paper
5 April 2012 Patterned defect and CD metrology by TSOM beyond the 22-nm node
Author Affiliations +
Abstract
Through-focus scanning optical microscopy (TSOM) is a novel method [1-8] that allows conventional optical microscopes to collect dimensional information down to the nanometer level by combining 2D optical images captured at several through-focus positions, transforming conventional optical microscopes into truly 3D metrology tools for nanoscale to microscale dimensional analysis with nanometer scale sensitivity. Although not a resolution enhancement method, it has been shown to provide lateral and vertical measurement sensitivity of less than a nanometer [5], comparable to the dimensional measurement sensitivity of other critical dimension (CD) metrology tools. The technique is capable of measuring features far beyond the theoretical resolution limits of optical microscopy, because it can capture much richer data at many z-heights (i.e., through focus). Additionally, TSOM appears to decouple the measurement of profile dimensional changes at the nanoscale, such as small perturbations in sidewall angle and height, with little or no ambiguity, and may be able to analyze target dimensions ranging from as small as 10 nm up to many microns with similar nanometer-scale sensitivity. Furthermore, previous simulation and experimental work has shown this method to be applicable to a variety of target materials and structures, such as nanoparticles, semiconductor memory features, and buried structures under transparent films. Additionally, this relatively simple technique is inexpensive and has high throughput, making it attractive for a variety of semiconductor metrology applications, such as CD, photomask, overlay, and defect metrologies [8]. In-line defect metrology is continuously challenged by the aggressive pace of device scaling. It is expected that the conventional brightfield techniques currently used in semiconductor manufacturing will not be able to meet defect inspection requirements near the 11 nm node. Electron beam-based inspection is able to meet resolution limits well below the 11 nm node, but operates at a significantly lower throughput. It has therefore become necessary to explore alternative approaches that have the potential to meet both resolution and throughput requirements. This work will present TSOM results of simulations and supporting experiments to demonstrate the metrology application of TSOM to features at the ITRS 22 nm node [9], including measurement of linewidths down to 10 nm, showing the ability to measure changes in line height, sidewall angle, and pitch variations. By extension, these results will show the feasibility of applying TSOM to important contemporary metrology problems in measuring doublepatterned features and FinFETs. Additionally, we will theoretically explore the use of TSOM to inspect defects on gatelevel arrays with different CDs down to 15 nm. This theoretical work consisted of modeling the optical response of cross-sectional perturbations and several patterned defect types and sizes using illumination wavelengths ranging from visible to deep ultraviolet (DUV) under different illumination polarizations. The results indicate that TSOM may be able to detect small CD and profile changes in fins of FinFET structures as well as defects that currently challenge conventional brightfield optical methods. The simulation results also indicate an added advantage of the TSOM method to differentiate certain types of defects and their orientations by exhibiting different optical intensity patterns. These results will provide insight into the feasibility of TSOM for CD and yield enhancement metrology.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Abraham Arceo, Benjamin Bunday, Victor Vartanian, and Ravikiran Attota "Patterned defect and CD metrology by TSOM beyond the 22-nm node", Proc. SPIE 8324, Metrology, Inspection, and Process Control for Microlithography XXVI, 83240E (5 April 2012); https://doi.org/10.1117/12.917236
Lens.org Logo
CITATIONS
Cited by 14 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metrology

Polarization

Critical dimension metrology

Defect detection

3D metrology

Defect inspection

3D acquisition

Back to Top