Paper
1 April 2013 Balancing lithographic performance and resist outgassing in EUV resists
Author Affiliations +
Abstract
Resolution (R), line-width roughness (L), and sensitivity (S) are three key indices describing the performance of a resist. When optimizing a resist to compromise the RLS trade-off in extreme-ultraviolet lithography, outgassing of the resist also needs to be considered, because it will cause deposition of cleanable and non-cleanable contaminants on the surface of the projection optics and reduce the throughput of the exposure tool. In this paper, the dependence of outgassing of a resist on its compositions, such as types of photo-acid generator, quencher, and acid liable group as well as their loadings are investigated systematically through a set of specially prepared resist samples. The outgassing of these samples is tested on EUVOM-9000 from Litho Tech Japan. The lithographic performances of these samples are also characterized on the ASML NXE3100. Directions for optimizing resist lithographic performance under the constraint of resist outgassing are proposed.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Shu-Hao Chang, Shu-Fang Chen, Ying-Yu Chen, Ming-Chin Chien, Shang-Chieh Chien, Tzu-Lih Lee, Jack J. H. Chen, and Anthony Yen "Balancing lithographic performance and resist outgassing in EUV resists", Proc. SPIE 8679, Extreme Ultraviolet (EUV) Lithography IV, 86790O (1 April 2013); https://doi.org/10.1117/12.2010794
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Contamination

Extreme ultraviolet

Extreme ultraviolet lithography

Line width roughness

Lithography

Scanners

Semiconducting wafers

Back to Top