Paper
19 March 2015 Through pitch monitoring by optical scatterometry
R. Melzer, C. Hartig, Gunter Grasshof, B. Sass, F. Koch, Z. -Q. Xu, Z. Shen, J Engelmann
Author Affiliations +
Abstract
Scatterometry critical dimension (SCD) technology in state of the art semiconductor manufacturing is a well-accepted and powerful technique to determine profile properties such as critical dimensions, sidewall angles, trench depths as well as layer thicknesses of microelectronic structures. The amount and combination of information receivable via SCD measurements makes it, as long as interpreted correctly and incoming process variations especially incoming material variations are well understood, superior to other measurement techniques such as critical dimension scanning electron microscopy (CDSEM), transmission electron microscopy (TEM) or atomic force microscopy (AFM). For high throughput inline process monitoring and feedback SCD models are usually generated for uniform gratings having fixed pitches representing dense areas of the microelectronic chip design. However, for purposes such as improvement in process tool matching, wafer uniformity or optical proximity correction (OPC) it is of great value if the measured test patterns do have different layout properties being representative for other design elements and styles as well. In this paper a through pitch SCD measurement within the shallow trench isolation (STI) layer on the 28nm node is presented. This approach allows to interpret, to tune and to monitor process tool behavior for different pattern densities using only one single specially designed lithography mask. Two different use cases are shown: for varying pitch sizes either the designed line CD or the designed space CD is kept constant. General SCD modelling approaches and examples to illustrate the key idea and practical use will be provided.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
R. Melzer, C. Hartig, Gunter Grasshof, B. Sass, F. Koch, Z. -Q. Xu, Z. Shen, and J Engelmann "Through pitch monitoring by optical scatterometry", Proc. SPIE 9424, Metrology, Inspection, and Process Control for Microlithography XXIX, 942429 (19 March 2015); https://doi.org/10.1117/12.2076151
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Single crystal X-ray diffraction

Etching

Critical dimension metrology

Optical proximity correction

Semiconducting wafers

Scatterometry

Silicon

Back to Top