Open Access Paper
17 November 2015 Front Matter: Volume 9635
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9635, including the Title Page, Copyright information, Table of Contents, Invited Panel Discussion, and Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Photomask Technology 2015, edited by Naoya Hayashi, Bryan S. Kasprowicz, Proceedings of SPIE Vol. 9635 (SPIE, Bellingham, WA, 2015) Six-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781628418453

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2015, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/15/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9635_963501_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B… 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

  • Abe, Tsukasa, 13

  • Ackmann, Paul, 1H, 1V

  • Adelmann, Christoph, 0X

  • Akima, Shinji, 18

  • Amano, Tsuyoshi, 0Y, 13, 1E

  • Armeanu, Ana-Maria, 0W

  • Asada, Hironori, 1K

  • Auth, N., 1P

  • Badger, Karen D., 0L, 11

  • Bandoh, Hideaki, 1Z

  • Bartha, Johann W., 15

  • Bartlau, Peter, 16

  • Beltman, Jan, 07

  • Benk, Markus P., 0F, 14

  • Bivens, Darin, 16

  • Böcker, Paul, 1S

  • Bonam, Ravi, 0L

  • Bork, Ingo, 0U, 0W

  • Bottiglieri, Gerardo, 03

  • Broadbent, William, 11

  • Browning, Clyde, 15

  • Buck, Peter, 0U, 0W

  • Buergel, Christian, 1H

  • Byun, Jin-Moo, 1S

  • Capelli, Renzo, 1D

  • Cekli, Hakki Ergun, 07

  • Chakravorty, Kishore, 09

  • Chandrachood, Madhavi, 16

  • Chang, Chin Kuei, 1R

  • Chang, Shao-Wen, 08, 0I

  • Chen, Chia-Jen, 08, 0I

  • Chen, Chien-Cheng, 08

  • Chen, Ellison, 1Q

  • Chen, Jeff, 16

  • Chen, Norman, 1V

  • Cheng, James, 1R

  • Cheng, Jeffrey, 1R

  • Chishima, Tatsuya, 1L

  • Cho, Sang-Joon, 1A

  • Choi, Chungseon, 1T

  • Choi, Jaehyuck, 0C

  • Choi, Jihwan, 1O

  • Choi, Jun Yeol, 0C

  • Choi, Kang-Hoon, 15

  • Choi, W., 06

  • Choi, Woosun, 1U

  • Chomat, Michael, 0W

  • Chou, William, 1R

  • Chun, Kyungwha, 1U

  • Chung, Dong-Hoon, 20

  • Claus, Rene A., 0F

  • Connolly, Brid, 0G

  • Craig, Peter, 1R

  • Crawford, Shaun, 16

  • Crell, Christian, 1V

  • Daneshpanah, M., 06

  • Dattilo, Davide, 0C, 1B

  • Davydova, Natalia, 0Z

  • Deckers, David, 1S

  • de Kruif, Robert, 0Z

  • de Winter, L., 0K

  • Dietze, Uwe, 0C, 1B

  • Dillon, Brian, 0T

  • Du, Yuelin, 22

  • Durvasula, Bhardwaj, 0U

  • Edinger, K., 1P

  • Farys, Vincent, 0W

  • Faure, Tom, 16

  • Ferber, M., 06

  • Fiekowsky, Dan, 1W

  • Fiekowsky, Peter, 1W

  • Figueiro, Thiago, 0T, 15

  • Finders, J., 0K

  • Fliervoet, Timon, 0Z

  • Fu, Nan, 1H

  • Fujii, Nobuaki, 1X

  • Gallagher, Emily E., 0X

  • Gatefait, Maxime, 07

  • Glasser, Joshua, 1Q

  • Goldberg, Alexander, 0S

  • Goldberg, Kenneth A., 0F, 14

  • Goldfarb, Dario L., 0A

  • Goto, So, 1G

  • Graur, Ioana, 0M

  • Grimbergen, Michael, 16

  • Guo, Daifeng, 22

  • Guo, Eric, 1M, 1Z

  • Gupta, Rachit, 05

  • Ha, Taejoong, 1T

  • Halle, Scott, 0L

  • Halls, Mathew D., 0S

  • Ham, Young, 1R

  • Han, Kwangsoo, 0E

  • Han, Sang-Jun, 1S

  • Hara, Daisuke, 1X

  • Harada, Tetsuo, 1E

  • Harashima, Noriyuki, 1L

  • Hashimoto, Hiraku, 1E

  • Hatakeyama, Masahiro, 0B

  • Hayano, Katsuya, 1X

  • Hayashi, Naoya, 1C

  • Hecker, Sandra, 1H

  • Hellweg, Dirk, 1D

  • Hendrickx, Eric, 0Z

  • Hermanns, Ch. F., 1P

  • Hibbs, Michael, 11

  • Hirano, Ryoichi, 0B, 0Y

  • Hirano, Takashi, 0R

  • Ho, Yen-Cheng, 08

  • Hohle, Christoph, 15

  • Hong, Hyeongsun, 1U

  • Hoshino, Ryoichi, 1K

  • Hsu, Jyh-Wei, 1B

  • Hsu, Simon C. C., 1R

  • Huang, Chain Ting, 1R

  • Huang, L. R., 1Q

  • Huang, W. H., 1Q

  • Huguennet, Frederic, 0W

  • Hutchinson, Trent, 11

  • Huyghebaert, Cedric, 0X

  • Iida nee Sakurai, Noriko, 1C

  • Iida, Susumu, 0Y

  • Imai, Hidemichi, 1W, 1X

  • Inoue, Hiromu, 0R

  • Inuzuka, Hideki, 1W

  • Iso, Hiroyuki, 1L

  • Isogawa, Takeshi, 18

  • Isomura, Ikunao, 0R

  • Iwamoto, Kazunori, 0P

  • Iwanaga, Takehiko, 0P

  • Iwasa, Junji, 0P

  • Jang, Heeyeon, 1Y

  • Jeon, Chan-Uk, 0C, 0V, 20

  • Jin, Gyoyoung, 1U

  • Jo, Ahjin, 1A

  • Jo, Sangjin, 1T

  • Jonckheere, Rik, 0X

  • Jung, Hong-Yul, 20

  • Jung, Hoyong, 1J, 1O

  • Jung, Jinhee, 1U

  • Kagawa, Masayuki, 0N

  • Kageyama, Kagehiro, 1I

  • Kahng, Andrew B., 0E

  • Kaiser, Winfried, 03

  • Kajiwara, Takenori, 17

  • Kamo, Takashi, 1C

  • Kanno, Kayoko, 1W

  • Kanno, Koichi, 1X

  • Kato, Masaya, 1W

  • Kawata, Atsushi, 1K

  • Kelkar, Amrish, 1Q

  • Kikuiri, Nobutaka, 0R

  • Kim, Byong, 1A

  • Kim, Byung Gook, 0C

  • Kim, Gwang-Gon, 1S

  • Kim, Hyunjoong, 1U

  • Kim, In-Seon, 1F

  • Kim, Jinsu, 0C

  • Kim, Munsik, 1J

  • Kim, Sangpyo, 06, 1J, 1O, 1T, 1Y

  • Kim, Sukwhan, 1U

  • Kim, Sungjin, 1U

  • Kim, Yongho, 1O

  • Kim, Young-Sik, 1S

  • Kinoshita, Hiroo, 1E

  • Kishimura, Yukiko, 1K

  • Kneer, Bernhard, 03

  • Koh, Soowan, 0C

  • Kosuge, Takeshi, 1W

  • Kou, Weitian, 1S

  • Kupers, Michiel, 1S

  • Kuribara, Masayuki, 1X

  • Kwak, Noh-Jung, 1S

  • Kwon, E., 06

  • Laske, F., 06

  • Last, T., 0K

  • Lawliss, Mark, 0L, 18

  • Lee, Adder, 1R

  • Lee, Dongwook, 1O

  • Lee, Hong-Goo, 1S

  • Lee, Hsin-Chang, 08, 0I

  • Lee, Hyein, 0E

  • Lee, Hyemi, 1J

  • Lee, Inja, 1U

  • Lee, Jae Uk, 0X

  • Lee, Jooyoung, 1U

  • Lee, Ju Suk, 1A

  • Lee, Keibock, 1A

  • Lee, Kweonjae, 1U

  • Lee, Sukho, 0V, 1G

  • Lee, Youngmo, 06, 1T, 1Y

  • Leung, Toi, 16

  • Levinson, Harry J., 02

  • Li, Rivan, 1M

  • Liang, Ted, 09

  • Lien, Ta-Cheng, 08, 0I

  • Lim, Young-Wan, 1S

  • Lin, C. J., 1Q

  • Lin, Chih-Cheng, 08, 0I

  • Litt, Lloyd C., 1V

  • Liu, Tzu-Ling, 08

  • Liubich, Vlad, 05

  • Lowe, Jeff, 0C

  • Lu, Colbert, 1R

  • Lu, Max, 1M, 1Z

  • Luchs, T., 1P

  • Ma, Won-Kwang, 1S

  • Magana, John, 09

  • Magnusson, Krister, 1D

  • Malloy, Matt, 1D

  • Mangat, Pawitter, 14

  • Matsumoto, Jun, 1X

  • Matsushita, Shohei, 1X

  • McMurran, Jeff, 1R

  • McNamara, Elliott, 1S

  • Migura, Sascha, 03

  • Miyashita, Hiroyuki, 1W, 1X

  • Miyazaki, Junji, 0Z, 10

  • Mo, Soo-Yeon, 1F

  • Mochizuki, Satoru, 1l

  • Morikawa, Yasutaka, 1C

  • Murakawa, Tsutomu, 1X

  • Nagaoka, Yoshinori, 10

  • Nakamura, Takayuki, 1X

  • Narita, Eisuke, 0L, 0N

  • Naulleau, Patrick P., 0D, 0F

  • Neumann, Jens Timo, 03, 0Z

  • Neureuther, Andrew R., 0D, 0F, 0G

  • Ning, Guoxiang, 1H, 1V

  • Nozawa, Osamu, 17

  • Ogawa, Riki, 0R

  • Oh, Hye-Keun, 1F

  • Oh, Sunghyun, 1T

  • Pang, Linyong, 1X

  • Paninjath, Sankaranarayanan, 20

  • Panning, Eric, 09

  • Park, Jisoong, 0V, 1G

  • Park, Sang-il, 1A

  • Pastol, Anne, 07

  • Peng, N. T., 1R

  • Pereira, Mark, 20

  • Perlitz, Sascha, 1D

  • Peters, Jan Hendrik, 1D

  • Petroni, Paolo, 0T

  • Philipp, Peter, 1V

  • Philipsen, Vicky, 0Z

  • Pollentier, Ivan, 0X

  • Pollock, Chuck, 1R

  • Progler, Chris, 0T

  • Qi, Zhengqing John, 0L, 0N, 18

  • Raghunathan, Ananthan, 0M

  • Rankin, Jed, 0L, 0M, 0N, 18

  • Redding, Vincent, 11

  • Reddy, Murali, 0U

  • Ren, Catherine, 1M

  • Roeth, K. D., 06

  • Roling, Stefan, 1H

  • Ryan, Kevin, 1S

  • Saib, Mohamed, 0T, 15

  • Sakamoto, Yoshifumi, 16

  • Samir, Bhamidipati, 20

  • Schanen, Isabelle, 0W

  • Schiavone, Patrick, 0T, 15

  • Schneider, H., 1P

  • Sczyrba, Martin, 0G

  • Seidel, Thomas E., 0S

  • Seki, Kazunori, 0L, 11, 18

  • Shang, Shumay, 05

  • Shanker, Aamod, 0G

  • Shi, Irene, 1M

  • Shida, Soichi, 1X

  • Shin, Inkyun, 0V

  • Shin, So-Eun, 0V, 1G

  • Shin, Sukho, 1U

  • Shishido, Hiroaki, 17

  • Shoki, Tsutomu, 0C

  • Shon, Jungwook, 0V, 1G

  • Spies, P., 1P

  • Sreenivasan, S. V., 0P

  • Stobert, Ian, 0M

  • Sturtevant, John, 05

  • Suh, Jung-Joon, 1S

  • Sun, Kyu-Tae, 1S

  • Sundermann, Frank, 07, 0W

  • Takagi, Noriaki, 13

  • Takahashi, Nobuyasu, 1G

  • Takai, Kosuke, 1C

  • Takayama, Tomohiro, 1K

  • Terao, Kenji, 0B

  • Thrun, Xaver, 15

  • Tian, Haitong, 22

  • Tian, Mingjing, 1M, 1Z

  • Tran, Jeffrey, 16

  • Troost, Kars, 03

  • Tseng, Alex C. P., 1R

  • Tseng, Y. N., 1Q

  • Tsuchiya, Hideo, 0R

  • Tsunoda, Dai, 1G

  • Tuo, Laurent C., 1Q

  • Turley, Christina, 0L

  • Uchida, Shigeru, 1I

  • Vacca, Anthony, 1W

  • van Haren, Richard, 07

  • van Ingen Schenau, Koen, 03

  • Van Look, Lieve, 0Z

  • van Oosten, Anton, 0Z

  • Vanpaemel, Johannes, 0X

  • van Schoot, Jan, 03, 0Z

  • Vengertsev, Dmitry, 0M

  • Verduijn, Erik, 14

  • Waiblinger, M., 1P

  • Waller, Laura, 0G

  • Wang, Elvik, 1Q

  • Wang, Jianwei, 1Z

  • Wang, Lutong, 0E

  • Wang, Tzu-Yi, 0I

  • Wang, Yow-Gwo, 0D, 0F

  • Watanabe, Hidehiro, 0B, 0Y

  • Watanabe, Takeo, 1E

  • Weiss, Markus, 1D

  • Wen, Vincent, 1Q

  • Werle, Florian, 1H

  • Wistrom, Richard, 16

  • Wittebrood, Friso, 0Z

  • Wojdyla, Antoine, 0F, 14

  • Wolff, K., 1P

  • Wong, Martin D. F., 22

  • Woo, Sungha, 1Y

  • Wood, Obert R., III, 14

  • Word, James, 05

  • Wu, David, 1Q

  • Wu, J. K., 1R

  • Wurm, Stefan, 1D

  • Wylie, Mark, 1Q

  • Yalamanchili, Rao, 16

  • Yamakawa, Hiroyuki, 1I

  • Yan, Bojan, 1M

  • Yen, Anthony, 08, 0I

  • Yesilada, Emek, 0W

  • Yim, Donggyu, 06, 1J, 1O, 1T, 1Y

  • Yim, Jongsuk, 1U

  • Yoo, Young-kook, 1A

  • Yoon, Gi-Sung, 20

  • Yoshikawa, Ryoji, 0R

  • Yoshikawa, Shingo, 1W, 1X

  • Yu, Chun Chi, 1R

  • Yu, Julia, 1R

  • Yu, Keven, 16

  • Zahedmanesh, Houman, 0X

  • Zandiatashbar, Ardavan, 1A

  • Zhang, Guojing, 09

  • Zimmerman, John, 03

  • Zine El Abidine, Nacer, 0W

Conference Committee

Symposium Chair

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

Symposium Co-chair

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

Conference Chair

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

Conference Co-chair

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

BACUS Steering Committee

  • Frank Abboud, Intel Corporation (United States)

  • Paul Ackmann, GLOBALFOUNDRIES Inc. (United States)

  • Paul C. Allen, Toppan Photomasks, Inc. (United States)

  • Michael D. Archuletta, RAVE LLC (United States)

  • Artur P. Balasinski, Cypress Semiconductor Corporation (United States)

  • Uwe F. W. Behringer, UBC Microelectronics (Germany)

  • Peter D. Buck, Mentor Graphics Corporation (United States)

  • Byungcheol Cha, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

  • Brian J. Grenon, RAVE LLC (United States)

  • Jon Haines, Micron Technology, Inc. (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Mark Jee, HOYA Corporation USA (United States)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Patrick M. Martin, Applied Materials, Inc. (United States)

  • M. Warren Montgomery, College of Nanoscale Sciences and Engineering (CNSE) (United States)

  • Wilbert Odisho, KLA-Tencor Corporation (United States)

  • Michael T. Postek, National Institute of Standards and Technology (United States)

  • Abbas Rastegar, SEMATECH Inc. (United States)

  • Douglas J. Resnick, Molecular Imprints, Inc. (United States)

  • Thomas Struck, Infineon Technologies AG (Germany)

  • Bala Thumma, Synopsys, Inc. (United States)

  • Jacek K. Tyminski, Nikon Research Corporation of America (United States)

  • Michael Watt, Shin-Etsu MicroSi, Inc. (United States)

  • Jim N. Wiley, ASML US, Inc. (United States)

  • Larry S. Zurbrick, Keysight Technologies, Inc. (United States)

Conference Program Committee

  • Frank E. Abboud, Intel Corporation (United States)

  • Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

  • Lucien Bouchard, Photronics, Inc. (United States)

  • Ron R. Bozak, RAVE LLC (United States)

  • Russell B. Cinque, JEOL USA Inc. (United States)

  • Uwe Dietze, SUSS MicroTec Inc. (United States)

  • Aki Fujimura, D2S, Inc. (United States)

  • Emily E. Gallagher, IMEC (United States)

  • Rik Jonckheere, IMEC (Belgium)

  • Byung Gook Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Shy-Jay Lin, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • Pawitter J. Mangat, GLOBALFOUNDRIES Inc. (United States)

  • M. Warren Montgomery, SUNY College of Nanoscale Science and Engineering (United States)

  • Linyong Pang, D2S, Inc. (United States)

  • Kenichi Saito, NuFlare Technology, Inc. (Japan)

  • Thomas Scherübl, Carl Zeiss SMT GmbH (Germany)

  • Steffen F. Schulze, Mentor Graphics Corporation (United States)

  • Anna Tchikoulaeva, Lasertec U.S.A., Inc. Zweigniederlassung Deutschland (Germany)

  • Banqiu Wu, Applied Materials, Inc. (United States)

  • Stefan Wurm, SEMATECH Inc. (United States)

  • Mark M. Wylie, KLA-Tencor Idaho (United States)

Session Chairs

  • 1 Keynote Session

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • 2 Invited Session: Joint with Photomask and Scanning Microscopies

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

    Michael T. Postek, National Institute of Standards and Technology (United States)

  • 3 Edge Placement Error Issue and Solution for Multi-Patterning

    Peter D. Buck, Mentor Graphics Corporation (United States)

    Aki Fujimura, D2S, Inc. (United States)

  • 4 EUV Mask Infrastructure Readiness

    Emily E. Gallagher, IMEC (Belgium)

    Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

  • 5 Student Session

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

    Jim N. Wiley, ASML US, Inc. (United States)

    Thomas Scheruebl, Carl Zeiss SMT GmbH (Germany)

  • 6 Scanning Beam Technologies and Applications: Joint Session with Photomask and Scanning Microscopies

    Michael T. Postek, National Institute of Standards and Technology (United States)

    Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

  • 7 EUV Simulation

    Paul C. Allen, Toppan Photomasks, Inc. (United States)

    Banqiu Wu, Applied Materials, Inc. (United States)

  • 8 Photomask Technology for Alternative Lithography: NIL

    Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

    Uwe Dietze, SUSS MicroTec Inc. (United States)

  • 9 Mask Data Preparation and Mask Process Correction

    Bala Thumma, Synopsys, Inc. (United States)

    Linyong Pang, D2S, Inc. (United States)

  • 10 Invited and Best Papers

    Uwe F. W. Behringer, UBC Microelectronics (Germany)

    Brian J. Grenon, Grenon Consulting, Inc. (United States)

  • 11 Metrology and Inspection

    Mark M. Wylie, KLA-Tencor Idaho (United States)

    Jerry Cullins, HOYA Corporation (Japan)

  • 12 Patterning and Process

    Kenichi Saito, NuFlare Technology, Inc. (Japan)

    Russell B. Cinque, JEOL USA Inc. (United States)

© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9635", Proc. SPIE 9635, Photomask Technology 2015, 963501 (17 November 2015); https://doi.org/10.1117/12.2217621
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Signal processing

Electron beam lithography

Data modeling

Inspection

Imaging systems

Back to Top