A new technology transforms mask inspection images through focus into 3D lithography images in resist. This enables early detection and ranking of hotspots, and distinguishes mask-induced and process-induced hotspots. The results can be used in several ways including: 1) feed back to OPC teams to improve process window; 2) feed forward to the litho team for scanner adjustment; and, 3) feed forward to wafer inspection in the form of care areas to reduce time to result for wafer-based process window discovery.
In a recent paper15, we presented a novel method for fully automated model-based generation and optimization
of sub-resolution assist features which, when placed on a contact layer photomask, minimize the variations in the printed
pattern with respect to focus change. Here we extend that methodology to improve the contrast of the light intensity in
addition to minimizing variations caused by focus change.
KEYWORDS: Scanning electron microscopy, Calibration, Etching, Photomasks, Data modeling, Metrology, Image processing, Plasma etching, Process modeling, Systems modeling
Mask Process Compensation (MPC) corrects proximity effects arising from e-beam lithography and plasma etch
processes that are used in the photomask manufacturing. Accurate compensation of the mask process requires accurate,
predictive models of the manufacturing processes. Accuracy of the model in turn requires accurate calibration of the
model. We present a calibration method that uses either SEM images of 2-dimensional patterns, or a combination of
SEM images and 1D CD-SEM measurements. We describe how SEM images are processed to extract the contours, and
how metrology and process variability and SEM alignment errors are handled. Extracted develop inspection (DI) and
final inspection (FI) contours are used to calibrate e-beam and etch models. Advantages of the integrated 2D+1D model
calibration are discussed in the context of contact and metal layers.
We present a model-based method of generating and optimizing sub-resolution assist features. Assist feature
generation is based on a focus sensitivity map derived from a cost function that minimizes the variations in the printed
pattern with respect to focus change. We also demonstrate a method to generate mask-friendly SRAF polygons from the
focus sensitivity map. After model-based placement, assist features and the main polygons are optimized together by
moving their edge segments. One of the optimization goals is that side-lobes and assist features should not print. This is
enforced by computing image on a two dimensional grid. We demonstrate the process window improvement for a
contact layer example.
We quantify the OPC accuracy improvement obtained by including the stepper signatures in the OPC model. The
analysis takes into account the complete cycle of OPC model calibration, OPC execution, and image verification of the
OPCed photomask. We use the Nikon Scanner Signature File (NSSF) version 1.5 for the NSR-S610C immersion
scanner; and an OPC model that accounts for vectorial imaging, the polarization map of the illumination, and the pupil
Jones matrix map of the projection optics. We verify that the OPC model closely agrees with a commercial lithography
simulator. We use a 42 nm half-pitch NAND-flash layout to illustrate our point. Post-OPC CD errors obtained when
excluding information about the stepper signature are 11.9 nm (max) and 2.8 nm (RMS). These values drop to 1.9 nm
(max) and 0.7 nm (RMS) when the NSSF is included in the OPC model. In practice, OPC models are calibrated using
CD measurements taken on printed test patterns, which are affected by the scanner signature. OPC model calibration
indirectly and partially captures the scanner signature; however, including the NSSF directly in the model increases
accuracy. In addition, the number of edge-placement errors (EPE) exceeding 1 nm dropped by an order of magnitude
when the NSSF was directly included in the OPC model, as compared to capturing the same information incompletely
using the model calibration instead.
We present a method for optimizing a free-form illuminator implemented using a diffractive optical element (DOE). The
method, which co-optimizes the source and mask taking entire images of circuit clips into account, improves the
common process-window and 2-D image fidelity. We compare process-windows for optimized standard and free-form
DOE illuminations for arrays and random placements of contact holes at the 45 nm and 32 nm nodes. Source-mask cooptimization
leads to a better-performing source compared to source-only optimization. We quantify the effect of typical
DOE manufacturing defects on lithography performance in terms of NILS and common process-window.
An algorithm is presented which performs a model-based colouring of a given layout for double patterning.
The algorithm searches the space of patterns which can be printed with a particular wavelength and numerical
aperture, and seeks to find a pair of patterns which combine to produce the desired target layout. This is
achieved via a cost function which encodes the geometry of the layout and allowable edge placement tolerances.
If the layout is not printable by double patterning, then the algorithm provides a closest solution and indicates
hotspots where the target is not feasible.
In recent years, mask critical dimension (CD) linearity and uniformity has become increasingly important. The ITRS roadmap shows the mask CD control requirements exceeding those of the wafer side beyond the 45nm node. Measurements show that there are systematic, uncorrected proximity effects even when a state-of-the-art proximity effect correction (PEC) algorithm is used. The uncorrected proximity effect is predictable with a computational model. The model for e-beam lithography and etch process contains terms to model short-range pattern density effects and plasma shadowing effect in Cr-etch. The model is calibrated using CD measurements on a test mask. The model is valid for arbitrary 2-D patterns. We present a model-based mask process compensation (MPC) method which applies geometric changes to polygons as in OPC. We discuss the goodness of model fit to the calibration data; verification of the calibrated model by SEM images; and the improvement obtained by MPC. The mask writing error, i.e. final inspection CD minus incoming database CD, was reduced by a factor of 2 through the use of MPC.
We consider a memory device that is printed by double patterning (litho-etch-litho-etch) technology wherein positive
images of 1/4-pitch lines are printed in each patterning step. We analyze the errors that affect the width of the spaces.
We propose a graphical method of visualizing the many-dimensional process-window for double patterning. Controlling
the space-width to ±10% of half-pitch is not possible under the worst combination of errors. Statistical analysis shows
that overlay and etch bias are the most significant contributors to the variability of spaces. 3σ[space-width] = 17% and
11% of nominal space can be achieved for 3σ[Overlay] = 6 nm and 3 nm, respectively, for a 40-nm half pitch array
printed using NA=0.93.
We present a necessary condition for an arbitrary 2-dimensional pattern to be printable by optical projection lithography.
We call a pattern printable if it satisfies a given set of edge-placement tolerances for a given lithography model and
process-window. The test can be made specific to a lithography model, or it can be made generic for a wavelength and
numerical aperture. In the generic form, if a pattern is found to be not printable, the conclusion is valid for all RET
technologies except for non-linear techniques such as litho-etch-litho-etch double-patterning and multi-photon
lithography. The test determines printability of a target layout without applying RET/OPC.
We consider a memory device that is printed by double patterning (litho-etch-litho-etch) technology wherein positive
images of 1/4-pitch lines are printed in each patterning step. We analyze the errors that affect the width of the spaces.
We propose a graphical method of visualizing the many-dimensional process-window for double patterning. Controlling
the space-width to ±10% of half-pitch is not possible under the worst combination of errors. Statistical analysis shows
that overlay and etch bias are the most significant contributors to the variability of spaces. 3&sgr;[space-width] = 17% and
11% of nominal space can be achieved for 3&sgr;[Overlay] = 6 nm and 3 nm, respectively, for a 40-nm half pitch array
printed using NA=0.93.
We optimize a continuous-tone photomask to meet a set of edge-placement tolerances and 2-D image fidelity
requirements, for a set of dose and defocus values. The resulting continuous tone mask, although not realizable,
indicates where to place assist features and their polarity. This algorithm derives assist features from first principles:
when the mask is optimized for best focus, the optimal continuous-tone photomask does not have any features that
resemble assist features. When the mask is optimized for best focus and a defocus condition, the optimal continuous-tone
photomask spontaneously grows assist features. The continuous-tone photomask also has features that can be
identified as phase windows. Polygonal, quantized assist features are extracted from the optimal continuous-tone photomask.
We present a methodology for building through-process, physics-based litho and etch models which result in accurate and predictive models. The litho model parameters are inverted using resist SEM data collected on a set of test-structures for a set of exposure dose and defocus conditions. The litho model includes effects such as resist diffusion, chromatic aberration, defocus bias, lens aberrations, and flare. The etch model, which includes pattern density and particle collision effects, is calibrated independently of the litho model, using DI and FI SEM measurements. Before being used for mask optimization, the litho and etch models are signed-off using a set of verification structures. These verification structures, having highly two-dimensional geometries, are placed on the test-reticle in close vicinity to the calibration test-structures. Using through-process DI and FI measurement and images from verification structures, model prediction is compared to wafer results, and model performance both in terms of accuracy and predictability is thus evaluated.
A technique for measuring the profile of the illumination in the pupil of a lithography projector is presented. The technique is based on exposing pinhole patterns on a wafer at different dose and defocus settings, and processing the scanning electron microscopy (SEM) images of the printed pinholes. The latent image intensity at the edges of the resist patterns equals the dose-to-clear. This establishes a multitude of equations, each of which states that the latent image intensity at a particular field location, dose, and defocus is known. The intensity distribution in the pupil of the illuminator is obtained by solving a large system of such equations, subject to the constraint that the intensity distribution is non-negative. An image processing algorithm based on nonlinear diffusion is used for finding coordinates of points on the edges of resist in SEM images. The results of the inversion for 193-nm stepper with 0.55/0.85 annular illumination and numerical aperture of 0.75 at five exposure field locations are presented.
Computational models used in process proximity correction require accurate description of lithography and etch processes. We present inversion of stepper and photoresist parameters from printed test structures. The technique is based on printing a set of test structures at different dose and defocus settings, and processing the CD-SEM measurements of the printed test structures. The model of image formation includes: an arbitrary pupil illumination profile, defocus bias, flare, chromatic aberrations, wavefront errors and apodization of the lens pupil; interaction of vector EM waves with the stack of materials on the wafer; and molecular diffusion in photoresist. The inversion is done by minimizing a norm of the differences between CDs calculated by the model and CD-SEM measurements. The corresponding non-linear least square problem is solved using Gauss-Newton and Levenberg-Marquardt algorithms. Differences between the CD measurements and the best fitting model have an RMS error of 1.63 nm. An etch model, separate from the lithography model, is fitted to measurements of etch skew.
Computational models used in process proximity correction require accurate description of the pupil illumination function of the lithography projector. Traditional top-hat approximation for pupil illumination function is no longer sufficient to meet stringent CD control requirements of low-k1 applications. The pupil illumination profile can change across the exposure field, contributing to across-field linewidth variation. We present a measurement of the pupil illumination based on exposing pinhole patterns on a wafer at different dose and defocus settings, and processing SEM images of patterns printed in photoresist. The fundamental principle of the method is Abbe's formulation of image formation: the intensity-image formed in resist is an incoherent, linear superposition of images each one of which is formed by illuminating the photomask by a single plane-wave. A single plane-wave that is incident on the photomask maps to a single point in the Fourier-transform aperture of the illuminator. The pupil-fill of the illuminator is obtained from SEM images by a model-based method consisting of these steps: First, resist edges in the SEM images are detected by an edge detection algorithm based on Perona-Malik diffusion. Coordinates of the points on the resist edge are obtained with respect to a reference ruler. The image intensity at any resist edge is equal to the dose-to-clear. This provides an equation for the image intensity at each point on the edge of a pinhole image. Multiple values of dose and defocus, and multiple points on each resist edge provide a large system of equations. The result of the inversion for a 193nm 0.75 NA stepper with σ = 0.55/0.85 annular illumination at five exposure field locations is presented. The CD difference between the nominal top-hat illumination and the inverted illumination was up to 1.8 nm for 1:1 line and space features ranging from 100nm to 300nm. Variation of the illumination along the long-dimension of the slit of the scanner caused 0.6 nm of CD variation for the same 1:1 dense lines.
As minimum groundrules for chipmanufacturing continue to shrink the lithography process is pushed further and further into the low k1 domain. One of the key characteristics of low k1 lithography is the fact that process variations are increasingly more difficult to manage and the resulting CD variations are significant relative to the nominal dimensions. As a result it is quite common for process engineers to define process budgets, mostly dose and focus budgets. These budgets summarize the effects of various exposure and process contributors and provide the range within which the process is expected to fluctuate. An important task of process design is to ensure that within these budgets no catastrophic patterning failures occur, but even more importantly that the CD variations remain within the allowed design tolerances. Various techniques have been developed to reduce the sensitivity of the lithography process to process variations, among those one of the more prominent and quite widely adopted techniques are subresolution enhancements. Traditionally subresolution assist features are placed in the design using rules based approaches. This work presents a model based approach to assist feature placement. In this approach assist features are placed such that the resulting mask exhibits the minimum sensitivity to the specific process variations encountered. The type of process variation may be defined by the user as serious of worst case conditions, for example in dose and focus. The technique however is general enough to allow a variety of process variations to be included. This work focuses on demonstrating the key concept and show it's validity. The approach demonstrated in this work is fully integrated with the process budget concept and therefore allows a "process aware" mask optimization.
In optical proximity correction, edges of polygons are segmented, and segments are independently moved to meet line-width or edge placement goals. The purpose of segmenting edges is to increase the degrees of freedom in proximity correction. Segmentation is usually performed according to predetermined, geometrical rules. Heuristic, model-based segmentation algorithms have been presented in the literature. We show that there is an optimal and unique way of segmenting polygon edges.
A typical wiring layer of SanDisk 3-dimensional memory device includes a dense array of lines. Every other line terminates in an enlarged contact pad at the edge of the array. The pitch of the pads is twice the pitch of the dense array. When process conditions are optimized for the dense array, the gap between the pads becomes a weak point. The gap has a smaller depth of focus. As defocus increases, the space between the pads diminishes and bridges. We present a method of significantly increasing the depth of focus of the pads at the end of the dense array. By placing sub-resolution cutouts in the pads, we equalize the dominant pitch of the pads and the dense array.
We present a full-chip implementation of model-based process and proximity compensation. Etch corrections are applied according to a two-dimensional model. Lithography is compensated by optimizing a cost function that expresses the design intent. The cost function penalizes edge placement errors at best dose and defocus as well as displacement of the edges in response to a specified change in a process parameter. This increases immunity to bridging in low contrast areas.
KEYWORDS: Semiconducting wafers, Critical dimension metrology, Metrology, Particles, Time metrology, Control systems, Metals, Scanning electron microscopy, Tin, Process control
As the semiconductor industry continues the transition to 300mm wafer factories, not only does the cost per wafer increase dramatically, but the number of eligible die (assuming equal die size) more than doubles. Given the parallel transition to design rules of 90nm and below, both the cost of production and the potential revenue from a 300mm wafer are vastly higher than that of a current 200mm wafer. For this reason alone, it is essential that wafer jeopardy, or the
number of wafers processed between metrology events, be reduced dramatically from the levels in a typical 200mm wafer line. The most promising method for achieving this is process tool-integrated metrology. Such systems allow rapid (in some cases near instantaneous) feedback on the process. Such a data stream, as input to an Advanced Process Control (APC) system, provides a volume of data and feedback lag time unparalleled by standalone metrology. In this case, critical dimension (CD) metrology is provided by a scatterometer integrated on a 200mm TEL CLEAN TRACK - ACT 8. The data, available on a wafer-by-wafer basis, is uploaded to the factory host where the APC application can update its state estimation before the entire lot has even completed processing.
Scatterometry provides a new, vibration-tolerant technique of overlay metrology. Gauge repeatability and reproducibility is improved by an order of magnitude over imaging-based overlay metrology. To measure the overlay of patterned layers A and B by scatterometry, one line grating is placed in layer A and another in layer B. The two gratings overlap when they are viewed in the direction that is normal to the wafer. The line gratings in layers a and b are of equal pitch and their lines are parallel. In one method, overlay is measured by fitting the optical properties of the target with spectra calculated using a model of the target and rigorous coupled wave analysis. A faster and simpler method obtains overlay by applying a linear estimator to a difference of spectra. Optical properties of targets were measured by a normal incidence spectroscopic reflectometer. Test wafers representing three overlay applications were fabricated: contact mask to shallow-trench, first metal mask to contact, and gate-mask to shallow-trench. Overlay measured by scatterometry agree with imaging-based measurements and offsets intentionally written to the reticle.
Scatterometry is gaining acceptance as a technique for critical dimension (CD) metrology that complements the more established scanning electron microscopy (SEM) techniques. Scatterometry determines the dimensions of the submicron structures by inverse diffraction calculations. SEM and scatterometry are complementary in many respects. Therefore, they are likely to coexist in the foreseeable future. Scatterometry and CD-SEM instruments can be integrated to take advantage of the complementary nature of the two techniques. To explore the joint use of scatterometry and SEM measurements, we measured a set of photoresist grating samples with CDs ranging from 240 nm through 40 nm by scatterometer (Sensys CD-i) and cross-section SEM (Hitachi S-4700). Although a cross-section SEM was used as an absolute standard for comparison of profiles and CDs, our conclusions range to include CD-SEM techniques. It was found that for measurements of profiles that were patterned with high uniformity within the measurement area, scatterometry was very effective, and correlated best with SEM measurements. However, in cases of substantial line-to-line profile variations, or for isolated or non-periodic lines, SEM is the more appropriate measurement method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.