KEYWORDS: Extreme ultraviolet, Extreme ultraviolet lithography, Etching, System on a chip, Photomasks, Roentgenium, Silicon, Chromophores, Line width roughness, Photoresist processing
Tri-layer process is the one of the key technique both for the lithography and etching around Hp20nm patterning. In applying for tri-layer process, we are focusing on inorganic type under layer which mainly containing Si atoms. This Si type hard mask (Si-HM) can perform not only as the Lithography performance enhancement layer for fine pitch, but also as the etching hard mask against bottom layer (spin on carbon : SOC). In this paper, we propose our new Si-HM concepts to achieve high sensitivity, wide process window and good line edge roughness. The key point of our concepts is EUV sensitive unit in Si-HM. Our EUV sensitive unit strongly promotes acid generation from PAG of EUV
photo resist. Especially, for EUV NTD lithography process, EUV sensitive unit can perform as the adhesion enhancer
between Si-HM and photo resist at EUV exposed area. As this result, we could resolve 22nm L/S=1/1 pattern on the EUV sensitive Si-HM by EUV NTD process even in the condition which hp40nm was the resolution limit with HMDS treated Bare-Si / PR stack. Moreover, from the view point of etching hard mask, 30nm dense L/S pattern and 20nm semi iso line pattern could be transferred to SOC layer successfully. We will present our latest Si-HM performance specialized for EUV lithography.
Because the pattern pitch is getting smaller and smaller, the pattern collapse issue in the lithography process have been getting the sever problem. Especially, pattern collapse is one of the main reasons for minimizing of process margin at fine pitch by EUV lithography. The possible major cause of pattern collapse is the surface tension of the rinsing liquid and the shrinkage of resist pattern’s surface in the process of drying the rinsing liquid. The influence of surface tension for very small pitch pattern is particularly severe. The one of the most effective solution for this problem is thinning of the resist film thickness, however this method is reaching to its limits in terms of substrate etching process anymore. The tri-layer resist process or hard mask processes have been used, but there is a limit to the thinning of resist film and there is no essential solution for this problem. On the other hand, the supercritical drying method has been known as an ultimate way to suppress the pattern collapse issue. The supercritical drying method is a dry process advanced to the vapor phase from the liquid phase via supercritical, and the supercritical drying method can dry the rinsing liquid without making the vapor-liquid coexistence state. However, this process is not applied to the mass production process because it requires the introduction of the special equipment. We newly developed the novel process and material which can prevent the pattern collapse issue perfectly without using any special equipment. The process is Dry Development Rinse Process (DDRP), and the material used in the process is Dry Development Rinse Material (DDRM). DDRM is containing the special polymer which can replace the exposed and developed part. And finally, the resist pattern will be developed by Dry etching process without any pattern collapse issue. In this paper, We will discuss the approach for preventing the pattern collapse issue in PTD and NTD process, and propose DDRP and DDRM as the solution.
EUV lithography (EUVL) is the most promising candidate of next generation technology for hp20nm node device manufacturing and beyond. However, the power of light source, masks and photo resists are the most critical issues for driving the EUVL. Especially, concerning about deterioration of the patterning performance by Out-of-Band (OoB) light existing in the EUV light, and contamination problem of exposure tool due to the resist outgassing are the key issues which have to be resolved in the material view point toward the high volume manufacturing by EUVL. This paper proposes the solution for these critical issues by applying the top coat material. The key characteristics for top coat material are the protection of the OoB effect, the prevention of the outgassing from resist as a barrier layer and enhancement of photo resist performance, like resist profile and process window. This paper describes the material design and performance. The optical property needs having the high absorbance of DUV light in OoB range and high transmittance for 13.5nm wavelength. Outgassing barrier property needs high broking property against non contamination chemical species from photo resist outgassing. The study of TOF-SIMS analysis indicates how much the polymer chemistry can impact for outgassing barrier property. The dependency of material design and lithography performance is also discussed.
For below Hp22nm generation, Hard-mask strategy is one of the key issues to achieve the good balance for
Lithography and Etching performance.
The thickness of resist should be thicker enough to obtain the etching margin for the substrate etching. However,
the thickness of photo resist (PR) needs to be thinner to obtain the good pattern collapse margin and resolution. In
order to solve this tread-off, the spin-on hard mask (HM) technology can be applied.
On the other hand, the ultra thin organic Underlayer (UL) being combined with the CVD-HM film stack is also
one of the processes for EUV lithography. In order to avoid the film loss of resist during UL open, the thickness of
UL must be thinner and the etch rate need to be faster. We studied the effect of UL design and thickness for the
EUV lithography performance.
For EUV lithography, the electron generation effect from UL is one of the key factors to improve the patterning
performance of resist. In this paper, we studied the suitable functional group for the Silicon containing HM(Si-HM)
for multilayer process and Org.-UL, which has high potential to generate 2nd electron and enhance the resist
performance, and discuss the material design and performance.
For the next generation lithography (NGL), several technologies have been proposed to achieve the 22nm-node devices
and beyond. Extreme ultraviolet (EUV) lithography is one of the candidates for the next generation lithography. In EUV
light source development, low power is one of the critical issue because of the low throughput, and another issue is Out
of Band (OoB) light existing in EUV light. OoB is concerned to be the cause of deterioration for the lithography
performance. In order to avoid this critical issue, we focused on development of the resist top coat material with OoB
absorption property as Out of Band Protection Layer (OBPL). We designed this material having high absorbance
around 240nm wavelength and high transmittance for EUV light. And this material aimed to improve sensitivity,
resolution and LWR performance.
For the next generation lithography (NGL), several technologies have been proposed to achieve the 22nm-node devices
and beyond. Extreme ultraviolet (EUV) lithography is one of the candidates for the next generation lithography. For
lithography processes, the Line width roughness (LWR) and the pattern collapse of resist are the most critical issues for
NGL, because of the small target critical dimension (CD) size and high aspect ratio. In this study, we design the new
concept of EUV Under layer (UL) material to meet these requirements and study the impact of polymer design for
pattern collapse behavior, pattern profile and LWR control by using EUV exposure tool.
Double exposure (DE) and double patterning (DP) have emerged as leading candidates to fill the technology gap
between water immersion and EUV lithography. Various approaches of them are proposed to achieve 3x-nm half-pitch
dense lines and beyond. Both DE with two resist processes and double patterning (DP) require two separate exposures,
and they are faced very tight overlay margin by the scanner tool. By contrast, self-aligned double patterning (SADP)
requires one exposure only, and provides high feasibility for 3x-nm node at this moment. However, a sequential order of
multiple non-lithographic steps (film deposition, etch, and CMP) cause a complicated and expensive process of SADP.
Instead of using complicated sacrificial layers, the spacers are directly formed at the sidewall of the resist patterns by
low-temperature CVD deposition or spin on sidewall (SoS) material coating. In this paper, lower cost-of-ownership of
SoS material are studied for SADP process.
Double patterning process with ArF immersion lithography has been developed as one of the most promising candidate
for hp32 node and beyond. However complicated process flow and cost of ownership are the critical issue for this
process. LELE (Litho-Etch-Litho-Etch) is the one of the standard process, but in order to reduce the process and cost,
that LFLE(Litho-Freezing-Litho-Etch) and LLE (Litho-Litho-Etch) process have been investigated as the alternative
process. In these processes, Organic Bottom-Anti-Reflective coating (BARC) is used two times with same film in both
1st Litho and 2nd Lithography process. In 2nd Lithography process, resist pattern will be printed at space area where
exposed and developed in 1st lithography process. Therefore, organic BARC needs to have process stability in Photo and
development step to keep good litho performance between 1st and 2nd lithography in LFLE / LLE process.
This paper describes the process impact of 1st exposure and development for organic BARC, and the LFLE / LLE
performance with optimized organic BARC will be discussed.
Materials and processes for double patterning using 193nm immersion lithography has been developed
for the 32/22 nm node device generations. As for double patterning , some patterning methods have
already been reported. For instance, there are LELE (Litho Etch Litho Etch) process and LFLE (Litho
Freeze Litho Etch) process. LELE process is complicate and low throughput compared to LFLE process.
On the other hand, freezing process and freezing material are needed in LFLE process. Then, we
examined the process and the material that was able to form a minute pattern without increasing the
number of processes as much as possible.
The following is examined as a fine hole patterning process. At first, the pillar pattern is obtained by the
X-Y double line dipole exposure. Secondly, the reverse material is applied on the pillar pattern and the
subsequent process (dry etching or wet etching process) converts the pillar pattern into a hole pattern.
We examined the reverse process and materials, including Silicon Glass for Etch Reverse Layer
(SiGERL),and organic Bottom-Anti-Reflective coating (BARC) which is adequate for reflectivity control,
lithography and the etching process.
193nm immersion and Hyper NA lithography are used at 45nm and beyond. The next generation of lithography will use a new technology such as Double Pattering, EUV or EB. Double patterning is one of the currently acceptable technologies.
Three common double pattern techniques are Litho-Etch-Litho-Etch (LELE), freezing, and sidewall (spacer) process. From a technical standpoint LELE is a very promising process, except for the second litho alignment. However, the cost of ownership will be very high because LELE will cost about twice as much as the current single litho patterning process. In order to build up a suitable double patterning technique, many device makers are developing unique processes. Two of these processes are freezing and sidewall. Flash memory makers are diligently investigating the sidewall process by CVD. This is because of the lack of a second litho alignment step, even with its high cost. The high cost of the CVD process can be reduced if a spin on material is used.
One of the goals of this paper is to reduce the cost of ownership by using spin on coatings for the sidewall process. Currently we are investigating this approach to control the sidewall width, profile and other properties.
The use of a conventional thermal cross-link materials such as negative resists, anti-reflective
coating (BARC), and planarizing layers does not lead to excellent planarization for multilevel
interconnects, and specially via arrays prior to trench patterning for an advance lithography.
The large thicknesses bias between the blanket areas and interconnect areas, and between the
blanket areas and via arrays are usually observed. This large thickness bias creates problems
during next lithography by narrowing the process latitude.
Recently, chemical mechanical polishing (CMP) technology has been proposed to achieve
global planarization. However, the CMP planarization technique is very sensitive to pattern
density, and chemical etching reaction had high possibility to increase the dielectric constant.
The current CMP technique still requires a new investment in the CMP equipment. In this paper, we reported another novel approach for global planarization using UV
cross-link material (XUVTM) and the dielectric ultra violet exposure unit in coater equipment
(TOKYO ELECTRON LTD CLEAN TRACKTM). This planar technique provides benefits for
reducing the thickness bias observed in the 22-65 nm generation lithography and imprint
processes. Using this technique, a remarkable reduction in via topography with 1.1 μm as a
depth and 0.9-1.0 μm as a diameter has been achieved excellent thickness bias less than 20 nm.
And, the planarization of the film obtained from the XUVTM was very high as compared with
that of the film obtained from thermal cross-link gap fill material as the reference, particularly
under severe coating conditions such as dense patterns.
Conventional method of patterning trenches in a via first trench last Dual Damascene process involves filling the thickness bias with thermal cross-link gap fill material and then applying the photoresist followed by trench lithography. The major problem of this process is the large thickness bias (step height) observed as the via pattern pitch and density changes across the wafer.
Now, the new approach of UV cross-link system instead of thermal cross-link gap fill material is proposed. The material is referred to as UV cross-link film (XUVTM).
The main properties of UV cross-link film are small thickness bias of blanket field and dense-via pattern, high planarization, and void free by using the newest UV cross link process that we studied in UV-photo irradiation system. The process for UV cross-link film is very simple, just UV ray irradiate the film for about 10 s in the same coater-developer tool.
In this paper, we study the novel approach, UV cross-link process for reducing the thickness bias. The planarization of XUVTM was very high as compared with that of the film obtained from thermal cross-link gap fill material as the reference. The application of UV cross- link process using XUVTM is one of the most promising processes ready to be investigated into mass production to leave out the dry etch back process before patterning trench in via first trench last Dual Damascene lithography.
The functional dependence of a resist critical dimension (CD) with respect to resist thickness for a general absorptive thin-film stack in the case of oblique incidence is derived analytically with the rigorous electromagnetic theory. Based on obtained results, we discuss those thin-film effects related to CD control, such as the swing effect, bulk effect, etc., especially in the regime of high numerical aperture optical lithography.
The critical dimension (CD) of contact holes for the 65-nm application specific integrated circuit (ASIC) is 100 nm according to the 2002 update of the International Technology Roadmap for Semiconductors. The common through-pitch depth of focus (DOF) of such contact holes is very small using the current ArF exposure tool. High-numerical-aperture (NA) ArF exposure tools are not expected to improve the common DOF that scales by the square of the numerical half aperture. High-transmission attenuated phase-shifting masks increase the DOF of isolated contact holes. Off-axis illumination such as annular or quadrupole illumination improves the DOF of dense contact holes. Nonetheless, both the isolated and the dense contact holes need to be printed within spec on logic circuit.
To delineate 100-nm contact holes at several different pitches, we proposed the pack-and-unpack (PAU) process which employs double exposures. First, dummy holes are added to the surroundings of isolated contact holes facilitating the patterning of the resultant dense pattern with a resolution enhancement technique that favors dense contact holes. For example, dense holes are packed to 180-nm pitch and imaged with high-NA lens setting and quadrupole illumination. Then, the second image is used to open the desired holes or block the dummy contact holes. The purpose of this study was to develop new methods and new materials for the patterning of the second image. Three approaches were investigated. The first approach was forming an isolation layer to protect the first image; second, applying UV curing to harden the first image; third, using alcohol-based resists to pattern the second image. Among those three approaches of printing the second image, using resist in alcohols is the most convenient method. Even though the CD control of the second image is not so critical, resolution and process window of resists may need further improvement for 45-nm node and below. Using the second approach allows conventional ArF resists, which does not raise as many concerns as the alcohol-based resists. With the first approach, a lot more work is needed to prevent intermixing and reactions between the isolation layer and the resist for the second image. The results of this work point to the directions for material developments of the PAU process. Both the alcohol-based resists and UV curing are good approaches for PAU. Further characterizations such as DOF, exposure latitude (EL), and mask error factor (MEF) on them will be carried out in the near future.
The continuous shrinkage of critical dimensions on 300 mm wafers has driven ArF lithography to resolve very small features for the next generation node. But the depth of focus (DOF) for 100 nm contact holes with a low NA of 0.75 is not adequate. Some resolution enhancement techniques (RETs), such as high transmission attenuated phase shifting masks, increase isolated contact hole DOF. Annular or quadrapole illumination improves dense hole resolution. However, they still cannot meet the requirement of logic circuit fabrication. To delineate 100-nm contact holes at 200-nm pitch, the resist process for 193 nm light was studied for the feasibility of a robust manufacturing process. In this paper we will discuss how to improve the process conditions of the thermal flow technique, as well as optimizing the illumination settings, prebake / post exposure bake temperatures, the mask dimensions and thermal flow temperature. Moreover, we will show the process window after the thermal flow process with optical proximity correction.
The IC industry is moving toward 90nm node and below. The CD size of implant layers has shrunk to 220nm. To achieve better CD uniformity, dyed KrF resist and top anti-reflective coating (TARC) are commonly used in advanced photo process of implant layers. It’s well known that bottom anti-reflective coating (BARC) has better reflection control over TARC. However, dry etching process is required if typical organic BARC is applied to photo process of implant layers. It is undesirable for two reasons. The first reason is the substrate damage caused by plasma etching could affect the device performance. The second reason is higher cost due to additional processing steps. In order to overcome those two shortcomings, developable BARC (DBARC) is introduced. It is a new type of BARC, which is soluble to developer, TMAH solution, in the resist development step. There are some reports on the developer-soluble KrF BARC. Most of them are polyamic acid and their solubility to alkline could be adjusted by changing bake condition. However, its development is isotropic, which make it difficult to get a vertical profile. Therefore, we have developed a photosensitive developer-soluble BARC (DBARC) which is anisotropic after exposure and thus results in a nice vertical profile. The photosensitive DBARC utilizes the same concept as chemically amplified resist. It has acid-cleavable groups in the resin and PAGs in the formulation. The photosensitive DBARC turns soluble to TMAH developer after exposure and resist PEB. The solubility difference caused by exposure makes developing process anisotropic and thus improves profile control. In this article, we will report the evaluation results of various combinations of KrF resists and DBARC for implant layers. Since both the resist and DBARC are photosensitive, matching of the photo speeds of them is essential. The amount and type of PAG in both the resist and the DBARC play a very import role. Finally, the optimized combination showed acceptable lithography process window and good CD uniformity over topography.
Controlling critical dimension (CD) uniformity and overlay accuracy are crucial to achieving quality lithography. The continuous reduction in minimum feature and unit cell sizes on semiconductor wafers has posed significant strain to lithography engineers. According to the 2001 ITRS roadmap, the half pitch of DRAM will be 100 nm and the overlay requirement will be 35 nm for the Poly layer in 2003. Up to date, the 193 nm lithography is mainly applied to those critical layers, such as Poly, Contact, Metal and Via in chip process flow. For the non-criticals, such as well and source-drain implant layers, we still use 248 nm or even 365 nm lithography. Such a situation poses potential challenges when we try to improve the overlay accuracy demanded by area reduction on unit cells since a mix-and-match between 193 nm and 248 nm has to be carried out. In the 90 nm logic process, the overlay requirement of implant layer to critical layers are tightened to 60 nm, which has been close to the current limit of tool matching capability between 193 nm and 248 nm. Stimulated by such an issue we start to implement 193 nm lithography into implant layers. In this paper, a full lithographic process characterization for 90 nm logic implant layers using 193 nm lithography is reported. The photo resist swing cure was first generated to determine the resist thickness. A top antireflective coating (ARC) was also applied to reduce the photo resist swing effect. After the target thickness of photo resist is being defined, three different thickness of resist was coated including targeted, thinner and thicker than targeted. Resist coated wafers were through bombardment of implantation species, then were sent to SIMS analysis. Based on the SIMS results, the target thickness is verified to be safe for the high voltage implantation required by process flow. The DOF data were collected for six kinds of patterns. The proximity effect data of 193 nm is only half of that resulted in 248 nm lithography. So, the optical proximity correction (OPC) may not be needed if 193 nm lithography is used. Besides, the CD variation is also improved when compared to the 248 nm lithography, especially when resist patterns are printed on topographic wafers. As the chip continues its shrinkage, the 193 nm lithography will be a must for implant layers at some point.
To have excellent compatibility with ArF resists is the goal in development of bottom antireflective coatings (B.A.R.C.) for 193nm lithographic application. We need to be able to adjust chemical compatibility and optical properties of ArF B.A.R.C. to accommodate various film stacks. We need to deliver ArF B.A.R.C. materials with excellent coating uniformity, long shelf life and ultra-low defect level. In the meantime, we also need to improve etch rate of the ArF B.A.R.C.s for shorter etch time. In this paper, we will focus on our recent efforts to optimize the organic ArF B.A.R.C.s' compatibility with ArF resists in the areas mentioned above.
The design of photoresists for 193 nm exposure systems has received extensive attention, with variable lithograph processes based on single layer resist as well as bi-layer and top surface imaging resists already been developed. Single layer resist systems can generally be divided into two classes: alicyclic and acrylic based on the polymer backbone. In acrylic systems, etching resistance is achieved by attaching pendant groups that have low Ohnishi numbers. In alicyclic systems, the high etching resistant substituent is directly incorporated in the backbone. Excellent ArF single layer photoresists have been derived form both types of polymers in several investigations. This work report on terpolymers of maleic anhydride, t-butyl-5-norbornene-2- carboxylate and polycyclic methacrylate derivatives as a resin for ArF photoresists formulated. Using these terpolymers which have a compatible property for 2.38 wt percent TMAH developer. The effects of terpolymers, type of PAG, dissolution inhibitors, base component, baking temperature and time delay on ArF SLR are also investigated.
Fabricating integrated circuits with increasingly smaller elements mandates that the chlorine ion contents in 2.38% TMAH to be under the 50 ppb level. Previously, the interference from TMAH inhibited the analysis of chlorine ion in TMAH by ion chromatography. In this study, we present a novel technique to eliminate the annoying interference from TMAH. By using of acid type cation exchange resin Amberite IR-120, the interference from TMA+ and OH- is successfully eliminated. The TMA+ cation is retained in the exchange resin and the OH- from TMAH neutralized with the H+ released from the resin. This relatively simple scheme of cation exchange preprocessing not only eliminates the influence of TMAH, but also possesses the additional merit that the Cl- anion has remained intact through the cation exchange resin. To further increase the detection limit, on-line preconcentration by ion chromatography has been coupled with the above scheme by cation exchange resin preprocessing, via this technique, the detection limit of sub 1 ppb level is achieved with no need of adding a standard.
A novel resist for 193 nm lithography must be developed to fulfill the demands of future microelectronics fabrication processes. Enhancing 193 nm DUV single layer resist (SRL) materials has received extensive interest in recent years, which exclusively derivatized acrylic polymers due to the problem of absorption at 193 nm. In this work, we investigate acrylic polymers as a 193 nm DUV SLR material. Our acrylic polymer comprises of tertbutyl ester acid labile group and other groups. The resist system using the polymer displays a positive tone image in the presence of photoacid generator such as onium salts. This work also investigates the effects of acid number, acrylic polymer composition, molecular weight of acrylic polymer on 193 nm DUV SLR.
When gradient index rods (GI rods) were prepared by interfacial-gel copolymerization, the bulk polymerization caused serious shrinkage, which, in turn, resulted in inevitable defects. These defects in GI rods can be reduced by control of reaction conditions. Experimental results revealed that properly adjusting the reaction conditions such as concentrations of initiator, chain transfer agent, and/or temperature could reduce the viscosities of the reaction mixtures and could produce GI rods with a parabolic refractive index distribution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.