According to the ITRS, mask defects are among the top technical challenges facing the introduction of extreme
ultraviolet (EUV) lithography into production. Making a defect-free multilayer EUV mask blank is not possible today,
and is unlikely to happen in the next few years. This means that EUV must work with masks having multilayer defects.
The method presented here compensates effects of multilayer defects by modifying absorber patterns whose images they
distort. It represents the patterns to be modified with level-set methods, providing more generality than binary pixels on
a fixed grid. The level-set representation and fast model used to calculate fields at the mask have been published
previously. The method has been applied with constraints to ensure that the pattern modifications are within the
capability of available repair equipment, and has been shown to enlarge process windows. Although prior work focused
on modifying absorber patterns, additional degrees of freedom to improve performance are available if modifications
include deposition of different materials. Simulated images show potential benefits with deposited carbon. To apply the
method, it is necessary to solve two sequential inverse problems. First, the defect buried in the multilayer must be
modeled from available information about the top surface of the mask blank. Then the absorber modifications must be
calculated from the desired image and properties of the modeled defect. Accuracy and speed of the computation meet
requirements for using it to manufacture EUV masks.
Computational techniques have become increasingly important to improve resolution of optical lithography. Advanced
computational lithography technologies, such as inverse lithography (ILT) and source mask optimization (SMO), are
needed to print the most challenging layers, such as contact and metal, at the 20nm node and beyond. In order to deploy
SMO and ILT into production, improvements and upgrades of mask manufacturing technology are required. These
include writing, inspection, defect review, and repair. For example, mask plane inspection detects defect at highest
resolution, but does not correlate accurately with scanner images. Aerial plane mask inspection and AIMSTM produce
images close to those of a scanner, but except fot the latest AIMS-32TM, it does not have the flexibility needed to capture
all the characteristics of free-form illumination. Advanced Computational Inspection and Metrology provides solutions
to many of these issues.
The ITRS roadmap1 lists double patterning 193 nm immersion exposure with inverse lithography as the likely solution
through the 22 nm half pitch generation. Three different patterns, scaled to 56 nm pitch, were explored using inverse
lithography.2,3 The patterns are a trim mask design adapted from Schenker, et al.4, a bit line design published by Pyo, et
al.5 and a metal layer design published by Lucas, et al.6. A free form gray scale illuminator was determined for each
pattern. Good results were obtained for the trim mask design with a process variation of less than 8 nm for 50 nm of
defocus and MEEF less than 6. The bit line design had to be modified from the published version which increased the
pattern area by 18.8%. For this pattern there was a maximum process variation of 11 nm for 50 nm of defocus and
MEEF less than 14. The metal layer design had to be modified which increased the pattern area by 2.6%. With these
changes there was a maximum process variation of 8.4 nm for 50 nm of defocus and MEEF less than 7.
In order to achieve an economical design-to-mask (DTM) development cycle in the low k1 domain, designers,
lithographers, and mask makers needed to move away from many sequentially isolated developmental activities onto one
collaborative environment managed by a computational lithography platform that integrates their respective
ecosystems. 1,2 A successful development cycle used to be achievable by designers providing designs to lithographers,
who then provided RET/OPC solutions to realize designs, but once k1 fell below a certain level, the lithographers could
not provide solutions to realize some critical designs, which then required feedback to designers for further redesigns
requiring further lithographic evaluation cycles. So collaboration and automations between lithographers and designers
became necessary to reduce feedback loops and development cycle time. RET and design solutions also were impacted
by mask making, and so mask maker's feedback on MRC and other constraints needed to be integrated for all three
groups to achieve an economical DTM.
As many lithographers attempted to print sub-80 nm pitches with 193 nm wavelength, it became necessary to use double
patterning to achieve feature resolution. With the effective pitch doubling on each split layer, there could be significant
increased design rule freedom for certain complex design situations. Using an integrated computational lithographic
platform, one could find design space sweet spots that could further achieve optimal lithographic performance. In this
paper, the optimization of design rules (DRD) for double pattern designs (~60 nm pitch) was explored with the mask
maker's perspective. The experiment to be presented started with a 2x nm design set of clips. Each set of clips
underwent size/width/space/pitch variations to generate a design space, and then each design space underwent SMO with
an inverse lithography technology (ILT) engine using various mask MRC's and manhattan segmentations. The
lithographic results were analyzed with respect to MRC and manhattan segmentation to show their impact on design
space and mask solutions.
An illuminator and mask patterns were optimized (SMO) to minimize CD variation of a set of contact patterns selected
from logic layouts and an array of SRAM cells. MEEF and defocus characteristics of the target patterns were modeled as
functions of constraints on minimum mask features and spaces (MRC). This process was then repeated after linearly
shrinking the input patterns by 10%. Common statistical measures of CD control worsen as MRC becomes more
restrictive, but these are weak indicators compared to behavior at points in the image that exhibit high MEEF or low
depth of focus. SMO solutions for minimum MEEF and maximum depth of focus are different, so some compromise is
necessary. By including exposure time among the variables to be optimized, some control over local mask bias is made
available to minimize MEEF and loss of litho quality due to MRC.
Clear-field photo-masks offer significant advantages over dark-field photo-masks for some important classes of target patterns, including small isolated features and dense arrays of contacts. This work compares lithographic performance of clear-field and dark-field images when mask patterns are optimized for respective mask tones. Since the purpose is to study optical behavior, computed images without resist models were compared. In order to explore performance limits, optimized masks were not constrained to limit their complexity.
Calculated images were compared for clear-field and dark-field masks, with either opaque or 6% transmission, 180-degree phase-shifted absorbers. In each case, mask patterns were independently optimized to print the targets, which were a set of square and rectangular arrays of contact holes with various dimensions and pitches. The range of the target patterns extended to the limits of ArF resolution with water immersion. Because the intent was to compare inherent optical performance of positive and negative-tone imaging, the study did not use resist models that would combine materials properties or behaviors into the results, but simply applied a constant threshold to calculated intensities to obtain images. Contrast, MEEF, and deviation of images with defocus were the basis of optimizing the mask patterns, and were compared for the four combinations of mask tones and absorbers. Best contrast and MEEF were obtained with bright-field masks that had attenuated, phase-shifting absorbers. The amount of improvement depended on the size of the mask patterns relative to that of their corresponding targets, set here by varying the intensity threshold for the images during mask optimization. Differences in how the images of the four types of masks changed with defocus were statistically insignificant.
It is well known in the industry that the technology nodes from 30nm and below will require model based SRAF / OPC
for critical layers to meet production required process windows. Since the seminal paper by Saleh and Sayegh[1][2]
thirty years ago, the idea of using inverse methods to solve mask layout problems has been receiving increasing attention
as design sizes have been steadily shrinking. ILT in its present form represents an attempt to construct the inverse
solution to a constrained problem where the constraints are all possible phenomena which can be simulated, including:
DOF, sidelobes, MRC, MEEF, EL, shot-count, and other effects. Given current manufacturing constraints and process
window requirements, inverse solutions must use all possible degrees of freedom to synthesize a mask.
Various forms of inverse solutions differ greatly with respect to lithographic performance and mask complexity. Factors
responsible for their differences include composition of the cost function that is minimized, constraints applied during
optimization to ensure MRC compliance and limit complexity, and the data structure used to represent mask patterns. In
this paper we describe the level set method to represent mask patterns, which allows the necessary degrees of freedom
for required lithographic performance, and show how to derive Manhattan mask patterns from it, which can be
manufactured with controllable complexity and limited shot-counts. We will demonstrate how full chip ILT masks can
control e-beam write-time to the level comparable to traditional OPC masks, providing a solution with maximized
lithographic performance and manageable cost of ownership that is vital to sub-30nm node IC manufacturing.
For semiconductor IC manufacturing at sub-30nm and beyond, aggressive SRAFs are necessary to ensure sufficient
process window and yield. Models used for full chip Inverse Lithography Technology (ILT) or OPC with aggressive
SRAFs must predict both CDs and sidelobes accurately. Empirical models are traditionally designed to fit SEMmeasured
CDs, but may not extrapolate accurately enough for patterns not included in their calibration. This is
particularly important when using aggressive SRAFs, because adjusting an empirical parameter to improve fit to CDSEM
measurements of calibration patterns may worsen the model's ability to predict sidelobes reliably. Proper choice of
the physical phenomena to include in the model can improve its ability to predict sidelobes as well as CDs of critical
patterns on real design layouts. In the work presented here, we examine the effects of modeling certain chemical
processes in resist. We compare how a model used for ILT fits SEM CD measurements and predicts sidelobes for
patterns with aggressive SRAFs, with and without these physically-based modeling features. In addition to statistics
from fits to the calibration data, the comparison includes hot-spot checks performed with independent OPC verification
software, and SEM measurements of on-chip CD variation using masks created with ILT.
For semiconductor manufacturers moving toward advanced technology nodes -32nm, 22nm and below - lithography
presents a great challenge, because it is fundamentally constrained by basic principles of optical physics. Because no
major lithography hardware improvements are expected over the next couple years, Computational Lithography has been
recognized by the industry as the key technology needed to drive lithographic performance. This implies not only
simultaneous co-optimization of all the lithographic enhancement tricks that have been learned over the years, but that
they also be pushed to the limit by powerful computational techniques and systems. In this paper a single computational
lithography framework for design, mask, and source co-optimization will be explained in non-mathematical language. A
number of memory and logic device results at the 32nm node and below are presented to demonstrate the benefits of
Level-Set-Method-based ILT in applications covering design rule optimization, SMO, and full-chip correction.
As increasing complexity of design and scaling continue to push lithographic imaging to its k1 limit, lithographers
have been developing computational lithography solutions to extend 193nm immersion lithography to the 22nm
technology node. In our paper, we investigate the beneficial source or mask solutions with respect to pattern fidelity
and process variation (PV) band performances for 1D through pitch patterns, SRAM and Random Logic Standard
Cells. The performances of two different computational lithography solutions, idealized un-constrained ILT mask
and manhattanized mask rule constrain (MRC) compliant mask, are compared. Additionally performance benefits
for process-window aware hybrid assist feature (AF) are gauged against traditional rule-based AF. The results of
this study will demonstrate the lithographic performance contribution that can be obtained from these mask
optimization techniques in addition to what source optimization can achieve.
Source Mask Optimization techniques are gaining increasing attention as RET computational lithography techniques in
sub-32nm design nodes. However, practical use of this technique requires careful considerations in the use of the
obtained pixilated or composite source and mask solutions, along with accurate modeling of mask, resist, and optics,
including scanner scalar and vector aberrations as part of the optimization process. We present here a theory-to-practice
case of applying ILT-based SMO on 22nm design patterns.
For semiconductor manufacturers moving toward advanced technology nodes -32nm, 22nm and below - lithography
presents a great challenge, because it is fundamentally constrained by basic principles of optical physics. For years,
source optimization and mask pattern correction have been conducted as two separate RET steps. For source
optimization, the source was optimized based on fixed mask patterns; in other words, OPC and SRAFs were not
considered during source optimization. Recently, some new approaches to Source Mask Optimization (SMO) have been
introduced for the lithography development stage. The next important step would be the extension of SMO, and in
particular the mask optimization in SMO, into full chip. In this paper, a computational framework based on Level Set
Method is presented that enables simultaneous source and mask optimization (using Inverse Lithography Technology, or
ILT), and can extend the SMO from single clip, to multiple clips, all the way to full chip. Memory and logic device
results at the 32nm node and below are presented which demonstrate the benefits of this level-set-method-based SMO
and its extendibility to full chip designs.
Masks computed by use of Inverse Lithography Technology (ILT) are being increasingly used in 32nm and below nodes
for their significantly better litho performance outperforming model-based OPC [1,2]. This technique poses the design of
photomasks as an inverse problem and then solves for the optimal photomask using rigorous mathematical approach
[3,4]. One such approach is the level set based method [5] wherein a level set function φ(x,y) is made to represent the
contour of the mask. The zero level set φ(x,y)=0 then represents the actual mask at a given instance. The same level-set
technique has now been extended to determine the most optimized source φ(p,q) for a given target or mask. Cooptimization
of both the source and mask is a natural extension of optimizing the mask alone in ILT. The same cost
function, say maximizing DOF, which is used to compute the ILT mask can be used for the source optimization as well.
This approach enables accurate and fast computation of the optimized source and mask for given set of patterns and also
utilizes running on a distributed computing environment.
In this paper, the level set based SMO approach will be first validated on simple contact array patterns and then extended
to the optimization of sample 22nm logic contact design patterns, including array, SRAM and random logic. The effect
of using different emphasis in defining the cost function will also be studied.
Improvements in resolution of exposure systems have not kept pace with increasing density of semiconductor products. In order to keep shrinking circuits using equipment with the same basic resolution, lithographers have turned to options such as double-patterning, and have moved beyond model-based OPC in the search for optimal mask patterns. Inverse Lithography Technology (ILT) is becoming one of the strong candidates in 32nm and below single patterning, low-k1 lithography regime. It enables computation of optimum mask patterns to minimize deviations of images from their targets not only at nominal but also over a range of process variations, such as dose, defocus, and mask CD errors. When optimizing for a factor, such as process window, more complex mask patterns are often necessary to achieve the desired depth of focus. Complex mask patterns require more shots when written with VSB systems, increasing the component of mask cost associated with writing time. It can also be more difficult to inspect or repair certain types of complex patterns. Inspection and repair may take more time, or require more expensive equipment compared to the case with simpler masks. For these reasons, we desire to determine the simplest mask patterns that meet necessary lithographic manufacturing objectives. Luminescent ILT provides means to constrain complexity of mask solutions, each of which is optimized to meet lithographic objectives within the bounds of the constraints. Results presented here show trade-offs to process window performance with varying degrees of mask complexity. The paper details ILT mask simplification schemes on contact arrays and random logic, comparing process window trade-offs in each case. Ultimately this method enables litho and mask engineers balance lithographic requirements with mask manufacturing complexity and related cost.
As design rule (DR) scaling continues to push lithographic imaging to higher numerical aperture (NA) and smaller k1
factor, extensive use of resolution enhancement techniques becomes a general practice. Use of these techniques not only
adds considerable complexity to the design rules themselves, but also can lead to undesired and/or unanticipated
problematic imaging effects known as "hotspots." This is particularly common for metal layers in interconnect
patterning due to the many complex random and bidirectional (2D) patterns present in typical layout. In such situations,
the validation of DR becomes challenging, and the ability to analyze large numbers of 2D layouts is paramount in
generating a DR set that encodes all lithographic constraints to avoid hotspot formation.
Process window (PW) and mask error enhancement factor (MEEF) are the two most important lithographic constraints in
defining design rules. Traditionally, characterization of PW and MEEF by simulation has been carried out using discrete
cut planes. For a complex 2D pattern or a large 2D layout, this approach is intractable, as the most likely location of the
PW or MEEF hotspots often cannot be predicted empirically, and the use of large numbers of cut planes to ensure all
hotspots are detected leads to excessive simulation time. In this paper, we present a novel approach to analyzing fullfield
PW and MEEF using the inverse lithography technology (ILT) technique, [1] in the context of restrictive design
rule development for the 32nm node. Using this technique, PW and MEEF are evaluated on every pixel within a design,
thereby addressing the limitations of cut-plane approach while providing a complete view of lithographic performance.
In addition, we have developed an analysis technique using color bitmaps that greatly facilitates visualization of PW and
MEEF hotspots anywhere in the design and at an arbitrary level of resolution.
We have employed the ILT technique to explore metal patterning options and their impact on 2D design rules. We show
the utility of this technique to quickly screen specific rule and process choices-including illumination condition and
process bias-using large numbers of parameterized structures. We further demonstrate how this technique can be used
to ascertain the full 2D impact of these choices using carefully constructed regression suites based on standard random
logic cells. The results of this study demonstrate how this simulation approach can greatly improve the accuracy and
quality of 2D rules, while simultaneously accelerating learning cycles in the design phase.
Mask Error Enhancement Factor (MEEF) plays an increasingly important role in the DFM and RET flow required to
continue shrinking designs in the low-k1 lithography regime. The ability to model and minimize MEEF during
lithography optimization and RET application is essential to obtain a usable process window (PW). In Inverse
Lithography Technology (ILT), MEEF can be included in the cost function as a nonlinear factor, so that the inversion
minimizes MEEF, in addition to optimizing PW and edge placement error (EPE). ILT has been shown to optimize
masks for a given source. Using ILT for contemporaneous Source and Mask co-Optimization (SMO) can provide further
benefit by balancing the complexity of mask and source. Results demonstrating the benefits of "MEEF-aware" ILT and
SMO for advanced technology nodes are presented in this paper.
Mask Error Enhancement Factor (MEEF) plays an increasingly important role in the DFM flow required to continue
shrinking designs in the low-k1 lithography regime. The ability to understand and minimize MEEF during design
optimization and RET application is essential to obtain a usable process window. The traditional limited-cutline
approach to analyzing and characterizing MEEF is no longer sufficient to accommodate increasing design complexity.
In this paper, we present a new method of edge-based MEEF for analyzing and characterizing MEEF-based hot spots
that overcomes the limitations of the traditional cutline approach. Application of the technique to analyze full-field
pixel-based two dimensional (2D) MEEF color maps of several different design clips is explained.
Process window (PW) is the most important metric in lithography simulations for evaluating the performance of a given
RET solution. Traditionally, process window calculation assumes a perfect mask, with no mask errors or corner
rounding. In a low k1 regime, MEEF increases enough that mask errors can no longer be ignored in PW evaluation. A
method of calculating "MEEF-aware" common process windows and creating a MEEF-aware process variation (PV)
band, including mask bias, is presented, and wafer image variability is examined under several process variations,
including dose, defocus and mask error. Results of MEEF-aware source-mask optimization (SMO) and design rule
exploration using inverse lithography technology (ILT) are also presented.
As photomask critical dimensions shrink significantly below the exposure wavelength and the angle of off-axis
illumination increases, the use of Kirchhoff thin mask approximation cannot capture diffraction and polarization effects
that occur at a topographical mask surface. Such approximation errors result in inaccurate models that lead to poor
prediction for image simulation, which can waste time and money during lithographic process development cycle. The
real effects of a thick mask can be simulated using finite difference time domain (FDTD) electromagnetic (EM) field
calculations, or be better approximated with less error using such techniques such as boundary layer or various Fourier
transformation techniques.
Design rule (DR) development strategies were fairly straightforward at earlier technology nodes when node-on-node
scaling could be accommodated easily by reduction of λ/NA. For more advanced nodes, resolution enhancement
technologies such as off-axis illumination and sub-resolution assist features have become essential for achieving full
shrink entitlement, and DR restrictions must be implemented to comprehend the inherent limitations of these techniques
(e.g., forbidden pitches) and the complex and unanticipated 2D interactions that arise from having a large number of
random geometric patterns within the optical ambit.
To date, several factors have limited the extent to which 2D simulations could be used in the DR development cycle,
including exceedingly poor cycle time for optimizing OPC and SRAF placement recipes per illumination condition,
prohibitively long simulation time for characterizing the lithographic process window on large 2D layouts, and difficulty
in detecting marginal lithographic sites using simulations based on discrete cut planes. We demonstrate the utility of the
inverse lithography technology technique [1] to address these limitations in the novel context of restrictive DR
development and design for manufacturability for the 32nm node. Using this technique, the theoretically optimum OPC
and SRAF treatment for each layout are quickly and automatically generated for each candidate illumination condition,
thereby eliminating the need for complex correction and placement recipes. "Ideal" masks are generated to explore
physical limits and subsequently "Manhattanized" in accordance with mask rules to explore realistic process limits.
Lithography process window calculations are distributed across multiple compute cores, enabling rapid full-chip-level
simulation. Finally, pixel-based image evaluation enables hot-spot detection at arbitrary levels of resolution, unlike the
'cut line' approach.
We have employed the ILT technique to explore forbidden-pitch contact hole printing in random logic. Simulations
from cells placed in random context are used to evaluate the effectiveness of restricting pitches in contact hole design
rules. We demonstrate how this simulation approach may not only accelerate the design rule development cycle, but
also may enable more flexibility in design by revealing overly restrictive rules, or reduce the amount of hot-spot fixing
required later in the design phase by revealing where restrictions are needed.
Model based OPC has been generally used to correct proximity effects down to ~50 nm critical dimensions at
k1 values around 0.3. As design rules shrink and k1 drops below 0.3, however; it is very hard to obtain enough process
window and acceptable MEEF (Mask Error Enhancement Factor) with conventional model based OPC. Recently, ILT
(Inverse Lithography Technology) has been introduced and has demonstrated wider process windows than conventional
OPC. The ILT developed by Luminescent uses level-set methods to find the optimal photo mask layout, which
maximizes the process window subject to mask manufacturing constraints.
We have evaluated performance of ILT for critical dimensions of 55nm, printed under conditions
corresponding to k1 ~ 0.28. Results indicated a larger process window and better pattern fidelity than obtained with
other methods. In this paper, we present the optimization procedures, model calibration and evaluation results for 55 nm
metal and contact layers and discuss the possibilities and the limitations of this new technology.
Design Based Metrology (DBM) requires an integrated process from design to metrology, and the very first and key
step of this integration is to translate design CD lists to metrology measurement recipes. Design CD lists can come from
different sources, such as design rule check, OPC validation, or yield analysis. These design CD lists can not be directly
used to create metrology tool recipes, since tool recipe makers usually require specific information of each CD site, or a
measurement matrix. The manual process to identify measurement matrix for each design CD site can be very difficult,
especially when the list is in hundreds or more. This paper will address this issue and propose a method to automate
Design CD Identification (DCDI), using a new CD Pattern Vector (CDPV) library.
The 2005 edition of the International Technology Roadmap for Semiconductors specifies that phase errors of alternating phase-shifting masks (APSM) should approach ± 1 degree by 2008. This specification is reasonably motivated by the desire to keep imaging effects of mask errors below those of aberrations of projection optics, but it implies a questionable assumption that the phase of a feature is a well-defined quantity. Variations of both phase and amplitude across apertures are significant. In addition to the variables that we expect mask manufacturers to control, such as trench depth, wall slope, and bottom-surface flatness, phase also depends on polarization, illumination angle, widths of apertures, and proximity of other features. Dependence of phase on variables in addition to trench depth will increase as we shrink to pitches available with immersion lithography, perhaps restricting layouts that can be printed with APSM technology. Mask manufacturers must develop methods to set and measure phase to necessary tolerance.
As silicon processes scale toward the 45 nm node using conventional 0.25 magnification, widths of sub-resolution assist feature (SRAF) and printable defects on photomasks drop far below the ArF laser wavelength. Adoption of polarized illumination and higher numerical aperture (NA) could invalidate the scaling relations we used in the past to determine which small mask features or errors will print on wafers. Polarization interaction with small mask features may also plays a role in mask inspection. As mask features shrink below the wavelength, differences between the optical systems used for inspection and printing become more significant, and may affect the rules for disposition of inspection results. The data presented here combines experimental results from high NA imaging of sub-wavelength SRAF and defects, with rigorous calculation of their images based on vector diffraction. The printability of these deep subwavelength mask feature determines the requirements of optical model's rigorousness for SRAF design rule and also mask defect inspection and repair capabilities.
Intel will start high volume manufacturing (HVM) of the 65nm node in 2005. Microprocessor density and performance trends will continue to follow Moore's law and cost-effective patterning solutions capable of supporting it have to be found, demonstrated and developed during 2002-2004. Given the uncertainty regarding the readiness and respective capabilities of 157nm and 193nm lithography to support 65nm technology requirements, Intel is developing both lithographic options and corresponding infrastructure with the intent to use both options in manufacturing. Development and use of dual lithographic options for a given technology node in manufacturing is not a new paradigm for Intel: whenever introduction of a new exposure wavelength presented excessive risk to the manufacturing schedule, Intel developed parallel patterning approaches in time for the manufacturing ramp. Both I-line and 248nm patterning solutions were developed and successfully used in manufacturing of the 350nm node at Intel. Similarly, 248nm and 193nm patterning solutions were fully developed for 130nm node high volume manufacturing.
KEYWORDS: Transistors, Lithography, Semiconducting wafers, Etching, Photomasks, Optical lithography, Oxides, Silicon, Deep ultraviolet, Process control
We have developed a process that uses a series of depositions and etches to pattern poly-silicon gates, eliminating the component of line width variation that normally arises from photolithography. Because the depositions and etches that determine line width are well controlled, we can pattern finer lines with better control using this process than with conventional methods. The results presented here show 3(sigma) < 10 nm for 100 nm lines. They are consistent with requirements for patterning gates in 2006 according to the 1997 edition of the National Technology Roadmap for Semiconductors. Using this patterning technique, we have made 100 nm nMOS transistors with 2 nm thick gate oxide, operating at 1.3 V. The distributions of important variables that characterize the operation of these transistors are shown to be much tighter than we obtain with conventional lithography.
In modern logic processes, variation of linewidths, rather than resolution, often sets the practical lower limit to dimensions. In this context, it is useful to understand how linewidth errors on photomasks contribute to linewidth errors on silicon. It is generally impossible to express the total linewidth variance as the sum of terms that depend only on the photomask or only on other factors. This follows partly because linewidth errors from several sources, such as non- uniform illumination or aberrations of the projection optics, combine with photomask errors to yield significant covariance. In this regard, photomask errors characterized by low spatial frequencies, such as those arising from resist and Cr processing, are more significant than the errors mask writers produce with higher spatial frequencies. A further complication at dimensions of interest, is that printed linewidth is a non-linear function of photomask linewidth, the effect being to amplify the consequences of linewidth errors on photomasks. Closely related to non-linearity are line shortening and proximity effects. When photomasks are compensated to mitigate these problems, round-off to minimum address increments becomes another source of linewidth errors.
Proximity effect correction presumes that a significant proportion of CD variations observed in wafer lithography and processes can be systematically predicted from calculations made on a pattern layout prior to fabrication. Total shape variations include a portion that repeats from chip-to-chip and wafer-to-wafer, and a randomly varying portion. Repeating effects can be compensated by modifying feature shapes on the mask pattern. The project described in this report is a study to characterize the systematic shape distortions in an experimental 0.25 micrometers process. Analyses of variation are made to quantify dependencies on specific variation sources. Two-dimensional 'behavior models,' derived from characterization data measured from processed wafers, can be used to compute shape corrections for arbitrary pattern layouts. This project was undertaken in collaboration with the Hewlett Packard Research Laboratories, Palo Alto, CA.
We have studied systematic line width (CD) errors as functions of field coordinates for three late-model i-line steppers from different manufacturers by measuring electrical resistance of lines patterned in poly-silicon. The combination of reticle errors with non-linear imaging accounts for a significant fraction of the total line width errors. After removing the effects of reticle errors, CD contour maps are consistent with aberration patterns in which the Strehl intensity is highest at the center of the field.
We have developed a method for patterning sub-micrometer gates with T-shaped cross sections, which may be applied to manufacture high performance field effect transistors (FETs). The technique employs two exposures at the KrF excimer laser wavelength (248 nm). The first exposure uses a phase-shifting mask to pattern 0.1 micrometers isolated spaces. The resist used for the second exposure absorbs the 248 nm radiation strongly enough to produce a profile suitable for lift-off patterning.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.