The small depth of focus of high-NA EUV systems asks for robust focus metrology and possibly even focus control. Fast optical focus metrology is possible with dedicated focus-sensitive targets that make use of mask-3D effects. It is beneficial to connect this optical focus measurement to the focus behavior of actual device structures. Focus errors of device structures can be determined by measuring Pattern Placement Errors (PPE) with e-beam since a focus error usually lead to a layout-dependent PPE. By using a large field of view SEM we can capture a large variety of pattern layouts in 1 image acquisition. This large pattern variety creates a lot of diversity resulting in a robust “on-device” focus measurement.
In this publication, we consider stitching enablement for High NA EUVL, specifically ‘zooming in’ on vertical line stitching used to create a physical connection between fields on wafer. We discuss stitching CD metrology and analysis using experimental and simulation results for pitch 36 nm dense lines. Experimental results were obtained on the NXE:3400B scanner at imec. CD uniformity across wafer and through slit are investigated as well as the impact from image to image overlap variation and the contribution of reticle CD errors and mask 3D shadowing. In the previous publications, we gave an overview of stitching challenges and various interactions in the stitching zone. In this publication, we focus on the aerial image interaction. Along a stitched vertical line, there are variations in CD creating a certain CD profile. These CD variations were modeled in a rigorous simulator but also observed experimentally. In order to characterize this behavior, we perform CD profile metrology at the stitch. We investigate the root causes of CD variability at the stitch and propose control mechanisms of stitching optimization. A key control mechanism being optical proximity correction (OPC) as well as overlay control.
To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with 0.55NA that enables 8nm HP resolution and a high throughput.
In this paper we will discuss the imaging performance and technology solutions to support our customers device roadmap from High-NA insertion towards low-k1 extension for critical Logic/MPU and DRAM layers. We will address various technology solutions that enable a high contrast through focus for decreasing feature size, such as mask stack optimization, computational litho solutions and advanced illumination shapes.
With the introduction of the NXE:3400B EUV scanner, ASML brings EUV lithography to the standards required for High Volume Manufacturing (HVM). In this presentation we will demonstrate the imaging performance of the NXE:3400B EUV scanner for customer representative use cases, based on the on-wafer imaging performance metrics CDU, local CDU and proximity matching. The use cases included in the imaging performance assessment are defined to cover single expose logic metal, logic block mask and DRAM contact hole applications.
With the introduction of the NXE:3400B EUV scanner, ASML brings to the market the next generation NXE system. In this paper we present the results of a subset of a larger investigation that aimed at assessing the imaging performance of the NXE:3400B in various scenarios. The use cases we chose for the presentation here are contact holes, which are typical building blocks for logic and memory applications. In this paper we evaluate typical lithographic metrics. Starting from the exposure latitude, we show that contact holes of already 17nm half-pitch can be printed. Next, we show that the full wafer CD uniformity improvement is mainly driven by a high reticle CD uniformity. After that, we explore the capabilities of the new NXE:3400B illuminator and investigate an improved illumination setting for relaxed staggered contact holes of half pitch >21nm, and show a 20% local CD uniformity improvement (from 4.6 to 3.6nm) for regular contact holes of 18nm half-pitch, without throughput loss.
The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and a 32 nm pitch for the subsequent M2 layer. With these pitches, the iN7 node is an ‘aggressive’ full-scaled N7, corresponding to IDM N7, or foundry N5.
Even in a 1D design style, single exposure of the 16 nm half-pitch M2 layer is very challenging for EUV lithography, because of its tight tip-to-tip configurations. Therefore, the industry is considering the hybrid use of ArFi-based SAQP combined with EUV Block as an alternative to EUV single exposure. As a consequence, the EUV Block layer may be one of the first layers to adopt EUV lithography in HVM.
In this paper, we report on the imec iN7 SAQP + Block litho performance and process integration, targeting the M2 patterning for a 7.5 track logic design. The Block layer is exposed on an ASML NXE:3300 EUV-scanner at imec, using optimized illumination conditions and state-of-the-art metal-containing negative tone resist (Inpria). Subsequently, the SAQP and block structures are characterized in a morphological study, assessing pattern fidelity and CD/EPE variability. The work is an experimental feasibility study of EUV insertion, for SAQP + Block M2 patterning on an industry-relevant N5 use-case.
This paper summarizes findings on the iN7 platform (foundry N5 equivalent) for single exposure EUV (SE EUV) of M1 and M2 BEOL layers. Logic structures within these layers have been measured after litho and after etch, and variability was characterized both with conventional CD-SEM measurements as well as Hitachi contouring method. After analyzing the patterning of these layers, the impact of variability on potential interconnect reliability was studied by using MonteCarlo and process emulation simulations to determine if current litho/etch performance would meet success criteria for the given platform design rules.
We report a study into intensity-driven mask 3D effects for N7 dark field two-bars in EUVL. For these features, traditional pupil optimization "rules" are advising to center a symmetric leaf shape illumination at the pupil plane location σY = (-0.64, 0.64). Experimentally determined critical dimension Bossungs for this exposure condition however yield an extreme best focus separation due to an additional Bossung tilt appearing at defocus values beyond 20 nm for the bottom trench. The Bossung tilts are caused by a strong coupling between the primary image of the two-bar and its first local pitch-induced self-image. The coupling to the self-image can be suppressed and, hence, the overlapping process window can be enhanced by the application of asymmetric sources, or by using standard dipole 90Y or leaf shape illuminations in combination with optimally placed sub-resolution assist features.
0.33 NA EUV lithography is expected to be introduced into High Volume Manufacturing at k1 values of approximately 0.4...0.5. This is significantly larger than state of the art immersion lithography which can operate at k1 of 0.3. We investigated the impact of contrast enhancement on the imaging properties of Contact Holes and Lines and Spaces. Contrast was adjusted by changing the illumination properties pupil fill ratio and center incidence angle. We found a strong improvement of the local Critical Dimension control: line width variation for Lines and Spaces and hole to hole CD variations for arrays of contact holes. For all features we found a similar dependency on contrast. As the local Critical Dimension variations contribute significant to Edge Placement Error budgets, we foresee the implementation of contrast enhancements already at moderate k1 values around 0.4.
Assist features are commonly used in DUV lithography to improve the lithographic process window of isolated features under illumination conditions that enable the printability of dense features. With the introduction of EUV lithography, the interaction between 13.5 nm light and the mask features generates strong mask 3D effects. On wafer, the mask 3D effects manifest as pitch-dependent best focus positions, pattern asymmetries and image contrast loss. To minimize the mask 3D effects, and enhance the lithographic process window, we explore by means of wafer print evaluation the use of assist features with different sizes and placements. The assist features are placed next to isolated features and two bar structures, consistent with theN5 (imec iN7) node dimensions for 0.33NA and we use different types of off-axis illumination . For the generic iN7 structures, wafer imaging will be compared to simulation results and an assessment of optimal assist feature configuration will be made. It is also essential to understand the potential benefit of using assist features and to weigh that benefit against the price of complexity associated with adding sub-resolution features on a production mask. To that end, we include an OPC study that compares a layout treated with assist features, to one without assist features, using full-chip complexity metrics like data size.
Traditional EUV masks, with absorber on top of the multi-layer (ML) mirror, generally suffer from mask 3D effects: H/V shadowing, best focus shifts through pitch and pattern shifts through focus. These effects reduce the overlapping process window, complicate optical proximity correction and generate overlay errors. With further pitch scaling, these mask 3D effects are expected to become stronger, increasing the need for a compensation strategy.
In this study, we have proven by simulations and experiments that alternative mask technologies can lower mask 3D effects and therefore have the potential to improve the imaging of critical EUV layers.
We have performed an experimental imaging study of a prototype etched ML mask, which has recently become available. This prototype alternative mask has only half the ML mirror thickness (20 Mo/Si pairs) and contains no absorber material at all. Instead, the ML mirror is etched away to the substrate at the location of the dark features. For this etched ML mask, we have compared the imaging performance for mask 3D related effects to that of a standard EUV mask, using wafer exposures at 0.33 NA. Experimental data are compared to the simulated predictions and the benefits and drawbacks of such an alternative mask are shown. Besides the imaging performance, we will also discuss the manufacturability challenges related to the etched ML mask technology.
While the 10nm logic node is getting ready for High Volume Manufacturing, the industry has started to
make the technology and design choices for the 7nm node. An important question for the industry is
whether to make an irreversible choice for EUV, or to keep both EUV and ArFi multi-patterning as options.
In the former case, it implies that the design rules of several critical layers will be such that the resulting 2D
patterns can only be reliably imaged using EUV. In the latter case, the design rules result in 1D like
patterns which are compatible with ArFi multiple patterning, either by application of cut-/block masks or
by direct print.
In this presentation we will compare the various patterning options by means of an edge placement error
(EPE) based performance analysis. We will explain the advantages and considerations of an EPE budget
compared to a traditional critical dimension uniformity (CDU) budget. The EPE analysis will be applied on
imaging results using critical building blocks or constructs taken from 1D and 2D logic designs. These
include cut mask, line-ends and 2D patterns. The trade-offs between the different designs in terms of
imaging performance will be evaluated, showing the minimum pitch and tip-to-tip that can be supported
based on the required EPE budget.
In the end we will summarize the trade-offs for the N7 design choices based on the EPE assessment.
Traditional EUV masks, with absorber on top of the multi-layer (ML) mirror, generally suffer from mask 3D effects: H/V shadowing, best focus shifts through pitch and pattern shifts through focus. These effects reduce the overlapping process window, complicate optical proximity correction and generate overlay errors. With further pitch scaling, these mask 3D effects are expected to become stronger, increasing the need for a compensation strategy. In this study, we have proven by simulations and experiments that alternative mask technologies can lower mask 3D effects and therefore have the potential to improve the imaging of critical EUV layers. We have performed an experimental imaging study of a prototype etched ML mask, which has recently become available. This prototype alternative mask has only half the ML mirror thickness (20 Mo/Si pairs) and contains no absorber material at all. Instead, the ML mirror is etched away to the substrate at the location of the dark features. For this etched ML mask, we have compared the imaging performance for mask 3D related effects to that of a standard EUV mask, using wafer exposures at 0.33 NA. Experimental data are compared to the simulated predictions and the benefits and drawbacks of such an alternative mask are shown. Besides the imaging performance, we will also discuss the manufacturability challenges related to the etched ML mask technology.
Traditional EUV masks, with absorber on top of the multi-layer (ML) mirror, generally suffer from mask 3D effects: H/V shadowing, best focus shifts through pitch and pattern shifts through focus. These effects reduce the overlapping process window, complicate optical proximity correction and generate overlay errors. With further pitch scaling, these mask 3D effects are expected to become stronger, increasing the need for a compensation strategy. In this study, we have proven by simulations and experiments that alternative mask technologies can lower mask 3D effects and therefore have the potential to improve the imaging of critical EUV layers. We have performed an experimental imaging study of a prototype Etched ML mask, which has recently become available. This prototype alternative mask has only half the ML mirror thickness (20 Mo/Si pairs) and contains no absorber material at all. Instead, the ML mirror is etched away to the substrate at the location of the dark features. For this Etched ML mask, we have compared the imaging performance for mask 3D related effects to that of a standard EUV mask, using wafer exposures at 0.33 NA. Experimental data are compared to the simulated predictions and the benefits and drawbacks of such an alternative mask are shown. Besides the imaging performance, we will also discuss the manufacturability challenges related to the etched ML mask technology.
With the introduction of the NXE:3100 NA=0.25 exposure system a big step has been made to get EUV
lithography ready for High Volume Manufacturing. Over the last year, 6 exposure systems have been
shipped to various customers around the world, active in Logic, DRAM, MPU and Flash memory, covering
all major segments in the semi-conductor industry. The integration and qualification of these systems have
provided a great learning, identifying the benefits of EUV over ArF immersion and the critical parameters
of the exposure tool and how to operate it.
In this paper we will focus specifically on the imaging performance of the NXE:3100 EUV scanner.
Having been operational for more than a year a wide range of features were evaluated for lithographic
performance across the field and across wafer. CD results of 32nm contact holes, 27nm isolated and dense
lines, 27nm two-bar, 22nm dense L/S with Dipole, as well as several device features will be discussed and
benchmarked against the current ArF immersion performance. A budget verification will be presented
showing CD and contrast budgets for a selection of lithographic features. The contribution of the resist
process and the mask will be discussed as well.
The litho performance optimization will be highlighted with the 27nm twobar and isolated lines features
that are sensitive to the illuminator pupil shape and projection lens aberrations.
We will estimate the amount of resist induced contrast loss for 27 and 22nm L/S based on measurements of
Exposure Latitude and the contributors from the exposure system.
We will further present on the impact of variations in the mask blank and patterned mask on imaging, with
several new contributors to take into account compared to traditional transmission masks.
Finally, the combined results will be projected to the NXE:3300 NA=0.33 exposure system to give an
outlook for its imaging performance capabilities.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.