Critical dimension control is essential in the semiconductor industry and becomes more challenging as photolithography limits keep getting pushed to reach technological nodes smaller than 10 nm. To ensure the quality and control of the processes, it becomes necessary to explore new metrology techniques. In this sense, critical dimension small-angle x-ray scattering (CDSAXS) has been identified as a potential candidate for determining the average shape of a line grating with a sub-nanometric precision. We benchmark the CDSAXS results obtained at the synchrotron to the optical critical dimension, critical dimension scanning electron microscopy, and transmission electron microscopy measurements collected from industrial metrology tools either at the manufacturing line or in the characterization laboratory. Emphasis is placed on the impact of the use of independent model for each technique and the benefits of unifying it in a unique model. We also discuss the differences between all of these multi-scale and multi-physics techniques, question our capacity to compare them, and eventually correlate the results obtained on several samples.
We present a reconstruction of the in-depth profile of line gratings using critical-dimension grazing incidence small angle x-ray scattering with a compact Cu-Kα x-ray source mounted on a laboratory small angle x-ray scattering (SAXS). By taking advantage of the grazing-incidence configuration and with a rotation of the gratings under the x-ray beam, several orders of the Bragg rods were probed and led to the extraction of the in-depth profile of the lines. The extracted in-depth profile is compared with 3D atomic force microscopy results. The methodology developed mimics the one of critical dimension SAXS measurements, in transmission, to enable future comparison between the two approaches as well as building complementary modeling. These results open new perspectives for in-line x-ray metrology since the Cu-Kα x-ray source is widely spread and used by the x-ray community.
Critical Dimension (CD) control is essential in the semiconductor industry and becomes more challenging as photolithography limits keep getting pushed to reach technological nodes smaller than 10 nm. To ensure quality and control of the processes, it becomes necessary to explore new metrology techniques. In this sense, Critical Dimension Small-Angle X-ray Scattering (CDSAXS) has been identified as a potential candidate to determine the average shape of a line grating with a sub-nanometric precision. In this paper we benchmark the CDSAXS results to Optical Critical Dimension (OCD), Critical Dimension Scanning Electron Microscopy (CDSEM) and Transmission Electron Microscopy (TEM) measurements previously collected from industrial metrology tools at manufacturing line and in characterization laboratory. Emphasis is placed on the model used for CDSAXS and how to improve it. We discuss the differences between all these multi-scale and multi-physics techniques, and question our capacity to compare them.
We present a reconstruction of the in-depth profile of line gratings using critical-dimension grazing incidence small angle x-ray scattering with a compact Cu-Kα x-ray source mounted on a laboratory SAXS. By taking advantage of the grazing-incidence configuration and with a rotation of the gratings under the x-ray beam, several orders of the Bragg rods were probed and lead to the extraction of the in-depth profile of the lines. The extracted in-depth profile is compared with 3D-AFM results. The methodology developed mimics the one of CD-SAXS measurements, in transmission, in order to enable future comparison between the two approaches as well as building complementary modeling. These results open new perspectives for x-ray metrology at the fab since the Cu-Kα x-ray source is the most developed, spread and used source by the x-ray community.
The shift of semiconductor industry applications into demanding markets as spatial and automotive led to high quality requirements to guaranty good performances and reliability in harsh environments. As reliability is directly related to a well-controlled process, characterizing the local overlay and its variations inside the chip itself becomes a real asset. While most available in-chip overlay metrologies require dedicated target or dedicated tools, we developed a new method that aims to augment the current SEM tool park into measuring the local overlay directly on the product. In a previous proceeding, this on-device and target-free overlay measurement based on CD-SEM contours has been assessed on SRAM patterns and showed promising results. The work presented here pushes forward this assessment using SEM synthetic images generated from the open-source Nebula simulator of electron-matter interaction. From a layout, a 3D geometry of the measured pattern can be generated, with materials and interfaces carefully defined. Then, a GPU-accelerated Monte-Carlo model simulates in tens of seconds the SEM image. This fast generation of images enables the use of synthetic SEM images in a digital twin system: they can be used to characterize and to challenge the overlay metrology, before applying it to real products. Indeed, a known overlay can be programmed in these images. This way the performances of the measurement algorithm can be assessed with a ground truth reference. Firstly, imaging parameters such as pixel size and noise have been varied in a wide range. This demonstrated a good accuracy and precision inside a defined measurement window with a coefficient of correlation above 0.996 and an offset lower than 0.2nm. In a second part, the influence of the pattern measured has been investigated and experimental results on SRAM could be reproduced using synthetic images. The origin of the loss of sensitivity has been identified and improvements in the contour extractions and used template led to a correlation with a slope of 1.03, an offset of 0.1nm and a Root Mean Square Deviation of 1.36 nm. Finally, the developed digital twin already showed behaviors in the measurement that were hidden in the on-wafer experiments, that helped assessing the method and which will be used in the future to define guidelines for template-based SEM-OVL measurements.
In the domain of advanced patterning, and especially at lithography steps achieve very small sizes becomes more and more crucial. This induces measurement challenges and thus requiring the development of new, precise and robust metrology techniques. To overcome the limited constraints of different techniques, one of the most promising approaches is hybrid metrology. It consists in gathering several metrology techniques to measure all the geometrical parameters which are processed them by an algorithm (mainly machine learning algorithm). This work stands out by using for deep learning a multi-branch neural network to increase the precision of predicts. With a particular attention made to the dataset generation and specific settings for each branch, we developed the potential of this approach which increase the precision of predicts.
Hybrid metrology is a promising approach to access to the critical dimensions of line gratings with precisions. The objective of this work is about using artificial intelligence (AI), mainly artificial neural network (ANN) to improve metrology at nanoscale characterization by hybridization of several techniques. Namely, optical critical dimension (OCD) or scatterometry, CD–Scanning electron microscopy (CDSEM), CD–Atomic force microscopy (CDAFM) and CD–Small angle x-rays scattering (CDSAXS). With virtual data of tabular–type generated by modelling, the ANN is able to predict the geometrical parameters compared to true measured values with high accuracies and detect irregularities in input data.
Directed Self-Assembly (DSA) of Block Copolymers (BCP) by chemo-epitaxial alignment is a promising high resolution lithography technique compatible with CMOS high-volume manufacturing. It allows overcoming limitations in resolution and local stochasticity by conventional, imaging based, lithography. However, for BCP with pitches below 20 nm and guide patterning by immersion lithography (193i), multiplication factors ≥ 4 become necessary, imposing stringent requirements on the guides and defectivity becomes hard to control. The Arkema-CEA (ACE) process flow overcomes this limit by creating the guides by a self-aligned double patterning (SADP) process flow, followed by the deposition of a cross-linkable neutral mat and selective grafting of the guides. This paper reports on the transfer of the process flow to immersion lithography, details challenges encountered in process optimization, notably the dependence of the wetting of the neutral layer on the surface energy and the morphology of the spacers. Last, the paper presents a metrology and defectivity roadmap combined with preliminary, promising results.
This paper introduces line roughness characterization non-straight patterns made of block copolymers (fingerprint patterns). Line Width Roughness have been determined using Power Spectral Density based on a special edge detection developed at CEA-LETI to extract edges contours. We investigated several process parameters impact on LWR such as the degree of polymerization of different BCPs and the impact of UV irradiation on the roughness of the PS block.
Mapper has installed its first product, the FLX–1200, at CEA-Leti in Grenoble (France). This is a maskless lithography system, based on massively parallel electron-beam writing with high-speed optical data transport for switching the electron beams. The FLX-1200, containing 65,000 parallel electron beams, has a 1 wph throughput at 300 mm wafers and is capable of patterning any resolution and any different type of structure all the way down to 28 nm node patterns. The system has an optical alignment system enabling mix-and-match with optical 193 nm immersion system using standard NVSM marks. Mapper Lithography and CEA-Leti are collaborating to develop turnkey solution for specific applications.
In figure 1 the basic operation principle of the Mapper technology is shown. The electron optics have no central crossovers making them intrinsically insensitive to Coulomb forces (electron repulsion). The electron optics are modular and much cheaper than high-NA DUV optics, and can be replaced or upgraded in the field. The wafer exposure happens one column of fields at a time and always in the same direction. There is no need to meander. The focus and leveling is performed during stage fly-back to reduce metrology overhead. Each column of fields is aligned separately, with dedicated alignment targets.
Figure 1, Basic operation of the Mapper technology.
In figure 2 the way the beams are distributed over the electron optics slit is shown. The writing strategy is as follows:
- There are up to 5 slits, staggered in X direction for reasons of wafer coverage. The approach is roughly analogous to an inkjet printer
- Each slit area consists of 204 x 13 individual groups of beamlets, organized in a hexagonal array.
- All beamlets are simultaneously horizontally deflected over a range of 2µm while the wafer is scanned vertically.
- Each group comprises 49 individual beamlets (7x7). Each of the 49 beamlets can independently be switched on and off during exposure.
- Each beamlet results in a Gaussian spot on the wafer with 25 nm FW50 diameter (10.6nm 1).
- Total beamlet count will therefore equal 5 x 204x13 x 49 = 649,740. In the FLX-1200 and FLX-1300 the central 10% are used (one half slit area): 65,000
A more detailed description of the principles of operation is given in [2].
Figure 2,Distribution of the beams over the electron optics slit.
The focus of presentation will be the reporting of the performance achieved of the tool installed at CEA-Leti during endurance runs in full tool configuration. This includes status of:
- Exposure throughput
- Achieved resolution and CD uniformity
- Stitching performance
- Matched Machine Overlay
- Tool availability and uptime
Also the different application areas for such a maskless system are discussed.
In figure 3 a preview of a CD uniformity measurement result is shown. On a 300 mm wafer fields of 5mm x 5mm have been exposed containing 60nm dense lines and spaces. The main source of CD variation is caused by differences between the groups of beamlets. To measure this variation we have taken 824 SEM images, each taken of a pattern written by a different beam group. The result is shown in figure 3. The variation is 8nm 3s, and follows a Gaussian distribution of 6nm 3s.
Figure 3, Distribution of 824 CD measurements results on 60nm dense lines and spaces
Directed Self-Assembly (DSA) of Block Copolymer (BCP) is a promising lithography approach to achieve high resolution pattern dimensions. The current chemo-epitaxy process used to induce block copolymer self-alignment is showing today its limitations. This is due to the resolution limitation of conventional lithography technics needed for the guide formation, used to achieve BCP alignment. This paper introduces a new chemo-epitaxy process, named ACE (Arkema-CEA), which is based on sidewall image transfer (SIT) patterning. This process has the great advantage to offer guides of small critical dimension (CD) and pitch that allows the integration of high χ BCP. In this paper, different parameters of the ACE process are investigated (commensurability, spacer CD …) in order to precisely determine the DSA process window defining the best conditions for BCP alignment. Process window with multiplication factor ranging from 2 to 4 are obtained on BCP under investigation.
Operating maskless, massively parallel electron beam direct write (MEBDW) is an attractive alternative to optical lithography in micro and nano device manufacturing. Mapper Lithography develops MEBDW tools able to pattern wafers, for application nodes down to 28nm, with a throughput around one wafer per hour. A prototype tool from this series, named FLX-1200, is installed in the CEA-Leti clean room. This paper reviews the current performances of this prototype and the methodology used to measure them. On standardized exposure, consisting of 100 fields of 5×5mm2 exposed, in less than one hour, on 300mm silicon wafers, we obtained CD uniformity below 10nm (3σ) and LWR of 4.5nm for 60nm half pitch dense lines. We also demonstrate capability of 15nm and 25nm (3σ) for stitching and overlay errors respectively.
Multiple electron beam direct write lithography is an emerging technology promising to address new markets, such as truly unique chips for security applications. The tool under consideration, the Mapper FLX-1200, exposes long 2.2 μm-wide zones called stripes by groups of 49 beams. The critical dimensions inside and the registration errors between the stripes, called stitching, are controlled by internal tool metrology. Additionally, there is great need for on-wafer metrology of critical dimension and stitching to monitor Mapper tool performance and validate the internal metrology.
Optical Critical Dimension (OCD) metrology is a workhorse technique for various semiconductor manufacturing tools, such as deposition, etching, chemical-mechanical polishing and lithography machines. Previous works have shown the feasibility to measure the critical dimension of non-uniform targets by introducing an effective CD and shown that the non-uniformity can be quantified by a machine learning approach. This paper seeks to extend the previous work and presents a preliminary feasibility study to monitor stitching errors by measuring on a scatterometry tool with multiple optical channels.
A wafer with OCD targets that mimic the various lithographic errors typical to the Mapper technology was created by variable shaped beam (VSB) e-beam lithography. The lithography process has been carefully tuned to minimize optically active systematic errors such as critical dimension gradients. The OCD targets contain horizontal and vertical gratings with a pitch of 100 nm and a nominal CD of 50 nm, and contain various stitching error types such as displacement in X, Y and diagonal gratings.
Sensitivity to all stitching types has been shown. The DX targets showed non-linearity with respect to error size and typically were a factor of 3 less sensitive than the promising performance of DY targets. A similar performance difference has seen in nominally identical diagonal gratings exposed with vertical and horizontal lines, suggesting that OCD metrology for DX cannot be fully characterized due to lithography errors in gratings with vertical lines.
KEYWORDS: Overlay metrology, Metrology, Electron beam lithography, Lenses, Distance measurement, Electron beams, Raster graphics, Semiconducting wafers, Time metrology, Process control
One of the metrology challenges for massively parallel electron beams is to verify that all the beams that are used perform within specification. The Mapper FLX-1200 platform exposes fields horizontally segmented in 2.2 μm-wide stripes. This yields two parameters of interest: overlay is the registration error with respect to a previous layer, and stitching is the registration error between the stripes. This paper presents five novel overlay targets and one novel stitching target tailored for Mapper’s needs and measured on KLA-Tencor Archer 600 image based overlay (IBO) platform. The targets have been screened by exposure of a variable shaped electron beam lithography machine (Vistec VSB 3054 DW) on two different stacks: resist-to-resist and resist-to-etched silicon, both as a trilayer stack. These marks attain a total measurement uncertainty (TMU) down to 0.3 nm and move-and-measure (MAM) time down to 0.3 seconds for both stacks. The stitching targets have an effective TMU of 0.4 nm and a MAM time of 0.75 seconds. In a follow up experiment, the two best performing overlay targets have been incorporated in an exposure by a Mapper FLX-1200. With the new stack a TMU of 0.3 nm and MAM time of 0.35 s have been attained. For 107 out of 140 selected stripes the slope was constant within 2.5%, the offset smaller than 0.5 nm and correlation coefficient R2 > 0.98.
Mapper has installed its first product, the FLX–1200, at CEA-Leti in Grenoble (France). This is a maskless lithography system, based on massively parallel electron-beam writing with high-speed optical data transport for switching the electron beams. The FLX-1200, containing 65,000 parallel electron beams in a 13mm x 2mm electron optics slit, is capable of patterning any resolution and any different type of structure all the way down to 28 nm node patterns. As of August 2017 the FLX-1200 has a fully operational electron optics column, including a 65,000 beam blanker. In this paper the latest technical achievements of the FLX-1200 have been described: beam current is at 80% of FLX-1300 target (85 minutes per wafer). For 42nm hp dense lines a CDu of 8nm 3σ and a LWR of 5nm 3σ has been demonstrated. The stitching error is 12nm μ+3σ and regarding overlay a 15nm capability demonstrated, provided matching strategy is implemented and the mirror map is calibrated.
Mapper Lithography has introduced its first product, the FLX–1200, which is installed at CEA-Leti in Grenoble (France). This is a mask less lithography system, based on massively parallel electron-beam writing with high-speed optical data transport for switching the electron beams. This FLX platform is initially targeted for 1 wph performance for 28 nm technology nodes, but can also be used for less demanding imaging. The electron source currently integrated is capable of scaling to 10 wph at the same resolution performance, which will be implemented by gradually upgrading the illumination optics. The system has an optical alignment system enabling mix-and-match with optical 193 nm immersion systems using standard NVSM marks. The tool at CEA-Leti is in-line with a Sokudo Duo clean track. Mapper Lithography and CEA-Leti are working in collaboration to develop turnkey solution for specific applications.
At previous conferences we have presented imaging results including 28nm node resolution, cross wafer CDu of 2.5nm 3 and a throughput of half a wafer per hour, overhead times included. At this conference we will present results regarding the overlay performance of the FLX-1200.
In figure 2 an initial result towards measuring the overlay performance of the FLX-1200 is shown. We have exposed a wafer twice without unloading the wafer in between exposures. In the first exposure half of a dense dot array is exposed. In the second exposure the remainder of the dense dot array is exposed. After development the wafer has been inspected using a CD-SEM at 480 locations distributed over an area of 100mm x 100mm. For each SEM image the shift of the pattern written in the first exposure relative to the pattern written in the second exposure is measured. Cross wafer this shift is 7 nm u+3s in X and 5 nm u+3s in Y. The next step is to evaluate the impact of unloading and loading of the wafer in between exposures. At the conference the latest results will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.