193i SAQP has allowed industry for continued BEOL metal pitch scaling, but as metal pitches become even tighter EUV SADP becomes an interesting alternative. In this context we have explored within our dual damascene 3ML test vehicles how the EUV SADP process compares to 193i SAQP for printing MP21 M2 lines. Our first EUV SADP results already show a better wafer CDU compared to our POR 193i SAQP process.
The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore’s law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations.
Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers.
In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.
While the semiconductor industry is almost ready for high-volume manufacturing of the 7 nm technology node, research centers are defining and troubleshooting the patterning options for the 5 nm technology node (N5) and below. The target dimension for imec’s N5 BEOL applications is 20-24 nm Metal Pitch (MP), which requires Self-Aligned multiple (Double/Quadruple/Octuple) Patterning approaches (SAxP) in combination with EUV or immersion lithography at 193 nm. There are numerous technical challenges to enable gratings at the hard mask level such as good uniformity across wafer, low line edge/width roughness (LER/LWR), large process window, and all of this at low cost. An even greater challenge is to transfer these gratings into the dielectric material at such critical dimensions, where increased line edge roughness, line wiggling and even pattern collapse can be expected for materials with small mechanical stability such as highly porous low-k dielectrics. In this work we first compare three different patterning options for 12 nm half-pitch gratings at the hard mask level: EUV-based SADP and 193i-based SAQP and SAOP. This comparison will be based on process window, line edge/width roughness and cost. Next, the transfer of 12 nm line/space gratings in the dielectric material is discussed and presented. The LER of the dielectric lines is investigated as a function of the dielectric material, the trench depth, and the stress in the sacrificial hard mask. Finally, we elaborate on the different options to enable scaling down from 24 nm MP to 16 nm MP, and demonstrate 8 nm line/space gratings with 193i-based SAOP.
KEYWORDS: Optical lithography, Overlay metrology, Process control, Error analysis, Semiconductors, Error control coding, Wafer inspection, Inspection, Manufacturing, Semiconducting wafers, System on a chip, Etching, Device simulation, Plasma etching, Scanning electron microscopy, Tin
We discuss edge placement errors (EPE) for multi-patterning of Mx critical layers using ArF lithography. Specific focus is placed on the block formation part of the process. While plenty of literature characterization data exist on spacer formation, only limited published data is available on block processes. We analyze the accuracy of placing blocks relative to narrow spacers. Many publications calculate EPE assuming Gaussian distributions for key process variations contributing to EPE. For practical reasons, each contributor is measured on dedicated test structures. In this work, we complement such analysis and directly measure the EPE in product. We perform high density sampling of blocks using CDSEM images and analyze all feature edges of interest. We find that block placement errors can be very different depending on their local design context. Specifically we report on 2 block populations (further called block A and B) which have a 4x different standard deviation. We attribute this to differences in local topography (spacer shape) and interaction with the plasma-etch process design. Block A (on top of the ‘core space’ S1) has excellent EPE uniformity of ~1 nm while block B (on top of ‘gap space’ S2) has degraded EPE control of ~4 nm. Finally, we suggest that the SOC etch process is at the origin on positioning blocks accurately on slim spacers, helping the manufacturability of spacer-based patterning techniques, and helping its extension toward the 5nm node.
It is clear today that further scaling towards smaller dimensions and pitches requires a multitude of additional process steps. Within this work we look for solutions to achieve a middle of line 193i based patterning scheme for N7 logic at a contacted poly pitch of 40-45 nm. At these pitches, trenches can still be printed by means of double patterning. However, they need to be blocked at certain positions because of a limited line end control below 90 nm pitch single print. Based on the 193i patterning abilities, the proposed SRAM (Static Random Access Memory) cell requires 5 blocking layers. Integrating 5 blocking layers is a new challenge since down to N10 one blocking layer was usually sufficient. The difficulty with multiple blocking layers is the removal of the masked parts, especially in cases of overlap. As a solution a novel patterning approach is proposed and tried out on relaxed dimensions (patent pending). The proposed solution is expected not to be sensitive to the number of blocking layers used, and tolerates their overlap. The stack is constructed to be compatible with N7 substrates such as SiGe or P:Si. Experimental results of the stack blocking performance on relaxed pitch will be presented and discussed.
Kaidong Xu, Laurent Souriau, David Hellin, Janko Versluijs, Patrick Wong, Diziana Vangoidsenhoven, Nadia Vandenbroeck, Harold Dekkers, Xiaoping Shi, Johan Albert, Chi Lim Tan, Johan Vertommen, Bart Coenegrachts, Isabelle Orain, Yoshie Kimura, Vincent Wiaux, Werner Boullart
The approach for patterning 15-nm half-pitch (HP) structures using extreme ultraviolet lithography combined with self-aligned double patterning is discussed. A stack composed of a double hard mask, which allows decoupling photoresist transfer and trim, and an α-Si mandrel, which offers better mechanical properties during the mandrel and spacer patterning, is proposed. A break-down study with the patterning steps was performed to investigate the key contributors for improvement of linewidth roughness (LWR), line-edge roughness (LER), and critical dimension uniformity (CDU), targeting integrated solutions with lithography, etch, thin film deposition, and wet cleans for selected applications. Based on the optimization of these key patterning contributors, optimum LWR, LER, and CDU at 15 nm HP are demonstrated.
Spacer based SADP (Self-Aligned Double Patterning) is used increasingly in IC manufacturing as design rules outstrip the resolution capabilities of traditional single exposure lithography processes. In this paper, a 15nm half pitch SADP process based upon an EUV single exposure produced mandrel is modeled using commercial simulation software (PROLITH X4.2, KLA-Tencor corp.). Good accuracy is observed when the simulated results are compared to actual experimental results. Artifacts present in the final spacer pattern are clearly traceable to the resist imaging step.
K. Xu, L. Souriau, D. Hellin, J. Versluijs, P. Wong, D. Vangoidsenhoven, N. Vandenbroeck, H. Dekkers, X. Shi, J. Albert, C. Tan, J. Vertommen, B. Coenegrachts, I. Orain, Y. Kimura, V. Wiaux, W. Boullart
This paper discusses the approach for patterning 15nm Half Pitch (HP) structures using EUV lithography combined with Self-Aligned Double Patterning (SADP). A stack composed of a double hard mask, which allows decoupling photoresist transfer and trim, and an α-Si mandrel, which offers better mechanical properties during the mandrel and spacer patterning, is proposed. A break-down study with the patterning steps was performed to investigate the key contributors for improvement of LWR, LER and CDU, targeting integrated solutions with lithography, etch, thin film deposition, and wet cleans for selected applications. Based on the optimization of these key patterning contributors, optimum LWR, LER and CDU at 15nm HP are demonstrated.
The demand for ever shrinking semiconductor devices is driving efforts to reduce pattern dimensions in semiconductor
lithography. In this work, the aim is to find a single patterning litho solution for a 28nm technology node using 193nm
immersion lithography. Target poly pitch is 110nm and metal1 pitch is 90nm. For this, we have introduced a range of
different techniques to reach this goal. At this node, it becomes essential to include the layout itself into the optimization
process. This leads to the introduction of restricted design rules, together with the co-optimization of source and mask
(SMO) and the use of customized illumination modes (freeform illumination sources; FlexrayTM). Also, negative tone
development (NTD) is employed to further extend the applicability of 193nm immersion lithography. Traditionally, the
printing of contacts and trenches is done by using a dark field mask in combination with a positive tone resist and
positive tone development. The use of negative tone development enables images reversal. This allows benefiting from
the improved imaging performance when exposing with bright field masks. The same features can be printed in positive
tone resists and with improved process latitudes.
At the same time intermediate metal (IM) layers are used to connect the front-end and back-end-of-line, resulting in huge
area benefits compared to layouts without these IM layers. The use of these IM layers will not happen for the 28nm
node, but is intended to be introduced towards the 20nm node, and beyond. Nevertheless, the choice was made to use this
architecture to obtain a first learning cycle on this approach.
In this study, the use of negative tone development is explored, and its use for the various dark field critical layers in a
28nm node process is successfully demonstrated. In order to obtain sufficiently large process windows, structures are
printed larger than the designed target CD. As a consequence, a shrink of the structures needs to be applied to obtain the
target CD after etch. Different shrink approaches are compared. Final results on wafer are discussed, focusing on critical
layers as IM1, IM2, Via0 and Metal1.
Today, 22nm node devices are built using 193nm immersion lithography, possibly combined with double patterning
techniques. Some stretch till the 16nm node is feasible here, using double, triple or even quadruple patterning.
Alternatively, extreme ultra violet (EUV) lithography is showing promising results, and is considered to be the most
likely option for this last mentioned device node. Electrically functional 22nm node devices are already available, where
EUV lithography is used for the definition of the back-end layers. Fewer results are published on the patterning of front-end
layers using EUV lithography.
In this work, EUV lithography is used for the patterning development of the first four critical layers (active or fin, gate,
contact and metal1) of a 16nm node 6T-SRAM cell. For the first time, front-end layers will need to be printed, with
EUV, and transferred into an underlying substrate. The need for optical proximity correction is checked and
characterized for all layers.
The spacer defined double patterning (SDDP) approach for 20nm half pitch (HP) single damascene Cu interconnect
structures using immersion lithography is being reviewed. Final results on wafer will be shown, focusing on critical
double patterning topics such as CD & overlay budget and line edge roughness (LER); and their impact on the electrical
functioning of the back-end-of-line test structures. The feasibility of extending the SDDP technique down to 15nm HP
structures is also discussed. The 30nm line/space structures patterned in resist, required as a starting point for this
exercise, will be patterned using EUV lithography.
Double-patterning lithography appears a likely candidate to bridge the gap between water-based immersion lithography and EUV. A double-patterning process is discussed for 30-nm half-pitch interconnect structures, using 1.2 numerical aperture immersion lithography combined with the MotifTM critical dimension (CD) shrink technique. An adjusted optical proximity correction (OPC) calculation is required to model the proximity effects of the Motif shrink technique and subsequent metal hard mask (MHM) etch, on top of the lithography-based proximity effects. The litho-etch-litho-etch approach is selected to pattern a TiN metal hard mask. This mask is then used to etch the low-k dielectric. The various process steps and challenges encountered are discussed, with the feasibility of this approach demonstrated by successfully transferring a 30-nm half-pitch pattern into the MHM.
Double patterning lithography appears a likely candidate to bridge the gap between water-based immersion lithography
and EUV. A double patterning process is discussed for 30nm half-pitch interconnect structures, using 1.2 NA immersion
lithography combined with the MotifTM CD shrink technique. An adjusted OPC calculation is required to model the
proximity effects of the Motif shrink technique and subsequent metal hard mask (MHM) etch, on top of the lithography
based proximity effects. The litho-etch-litho-etch approach is selected to pattern a TiN metal hard mask. This mask is
then used to etch the low-k dielectric. The various process steps and challenges encountered are discussed, with the
feasibility of this approach demonstrated by successfully transferring a 30nm half-pitch pattern into the MHM.
A double patterning (DP) process is discussed for 50nm half pitch interconnects, using a litho-etch-litho-etch approach
on metal hard mask (MHM). Since an 0.85NA immersion scanner is used, the small pitch of 100nm is obtained by DP,
the small trenches are made by a Quasar exposure followed by a shrink technique. The RELACS® process is used,
realizing narrow trenches with larger DOF and less LER. For mask making, a design split is carried out, followed by
adjustments of the basic design to make the patterns more litho-friendly. Assist features are placed next to isolated
trenches to ensure sufficient DOF. Furthermore, an adjusted OPC calculation is carried out, taking into account
proximity effects of both the exposure and the subsequent shrink process. After mask fabrication, this DP process is
used for a single damascene application, with BDIIx as low-k material and TaN or TiN as MHM. Various problems are
encountered, such as CD gain of the trenches during both MHM etch steps, poisoning and BARC thickness variations
due to topography during the second litho step. For all these problems, solutions or work-arounds have been found,
After the second MHM-etch, the 50nm half-pitch pattern is transferred successfully in the underlying low-k material.
Maaike Op de Beeck, Janko Versluijs, Zsolt Tőkei, Steven Demuynck, J.-F. De Marneffe, Werner Boullart, Serge Vanhaelemeersch, Helen Zhu, Peter Cirigliano, Elizabeth Pavel, Reza Sadjadi, Jisoo Kim
Limits to the lithography process window restrict the scaling of critical IC features such as holes (contact, via) and
trenches (required for interconnects and double patterning applications). To overcome this problem, contacts or trenches
can be oversized during the exposure, followed by the application of a shrink technique. In this work, a novel shrink
process utilizing plasma-assisted polymer deposition is demonstrated: a polymer is deposited on the top and sidewalls of
photoresist by alternating deposition and etch steps, reducing the dimension of the lithography pattern in a controlled
way. Hence very small patterns can be defined with wide process latitudes. This approach is generic and has been
applied to both contacts and trenches. The feasibility of the plasma-assisted shrink technique was evaluated through
extensive SEM inspections after lithography, after shrink, and after etch, as well as through electrical evaluations.
The fate of optical-based lithography hinges on the ability to deploy viable resolution enhancement techniques (RET).
One such solution is double patterning (DP). Like the double-exposure technique, double patterning is a decomposition
of the design to relax the pitch that requires dual masks, but unlike double-exposure techniques, double patterning
requires an additional develop and etch step, which eliminates the resolution degradation due to the cross-coupling that
occurs in the latent images of multiple exposures. This additional etch step is worth the effort for those looking for an
optical extension [1]. The theoretical k1 for a double-patterning technique of a 32nm half-pitch (HP) design for a
1.35NA 193nm imaging system is 0.44 whereas the k1 for a single-exposure technique of this same design would be 0.22
[2], which is sub-resolution. There are other benefits to the DP technique such as the ability to add sub-resolution assist
features (SRAF) in the relaxed pitch areas, the reduction of forbidden pitches, and the ability to apply mask biases and
OPC without encountering mask constraints.
Similarly to AltPSM and SRAF techniques one of the major barriers to widespread deployment of double patterning to
random logic circuits is design compliance with split layout synthesis requirements [3]. Successful implementation of
DP requires the evolution and adoption of design restrictions by specifically tailored design rules.
The deployment of double patterning does spawn a couple of issues that would need addressing before proceeding into a
production environment. As with any dual-mask RET application, there are the classical overlay requirements between
the two exposure steps and there are the complexities of decomposing the designs to minimize the stitching but to
maximize the depth of focus (DoF). In addition, the location of the design stitching would require careful consideration.
For example, a stitch in a field region or wider lines is preferred over a transistor region or narrower lines. The EDA
industry will be consulted for these sound automated solutions to resolve double-patterning sensitivities and to go
beyond this with the coupling of their model-based and process-window applications.
This work documented the resolution limitations of single exposure, and double-patterning with the latest hyper-NA
immersion tools and with fully optimized source conditions. It demonstrated the best known methods to improve design
decomposition in an effort to minimize the impact of mask-to-mask registration and process variance. These EDA
solutions were further analyzed and quantified utilizing a verification flow.
Two-beam interference of 193nm laser light can print dense line-space patterns in photoresist, down to a resolution that can only be obtained using hyper-NA scanners, and allows for early learning on hyper-NA imaging and process development. For this purpose, a dedicated two-beam interference immersion printer, operating at 193nm wavelength, was installed in the IMEC cleanroom. The interference printer consistently generates L/S patterns at 130nm, 90nm, and 72nm pitch with exposure latitudes in the 12-26% range (when using TE-polarized light). At these pitches, process and imaging issues have been studied that are of direct interest for hyper-NA lithography. On the imaging side, we discuss the flexibility of the printer towards working with various polarizations. We show how reflection reduction strategies at the high incidence angles of hyper-NA imaging can be tested in the interference printer. On the processing side, we have screened a number of resists at 90nm pitch. A methodology to study static and dynamic leaching was developed. Several liquids with refractive index >1.6 are currently being developed as potential candidates to replace water for optical lithography at 38nm half-pitch. We have used the interference printer at 72nm pitch, with both water and liquids of refractive index 1.65.
Using 193nm lithography at NA=0.75, the minimum pitch that can be obtained in a single exposure is 160nm for dark field structures that are used in single damascene interconnect processing.
In order to evaluate the critical electrical parameters for the smaller technologies, a double patterning scheme has been developed to obtain electrical structures at pitches from 140nm down to 100nm. This corresponds to k1-factors of 0.27 to 0.19 for dense trenches. The designs have been split up into two layers at more relaxed pitch (twice the final pitch). The first step consists in patterning a small semi-isolated trench at this more relaxed pitch. Because of the limited resist resolution for semi-isolated trenches, shrink techniques such as resist reflow or RELACS are needed. After etching this first layer into a low-k material or metal hard mask, planarization of the topography is critical before performing the second exposure. The second exposure is then identical to the first one, but overlay to the first layer is extremely critical in order to get a reasonable process window. In this paper, we illustrate the feasibility of the double patterning technique for early sub-65nm-node evaluation of low-k materials. The resolution and processing limits will be shown for single layer resist processing with RELACS shrink for 193nm lithography at NA=0.75. The planarization for the second photo is done using organic BARC. We will also quantify the overlay requirements to measured and introduced overlay errors.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.