Plasmonic lithography can amplify the evanescent wave resonance at the mask and participate in imaging by exciting surface plasmon polaritons (SPPs), breaking the diffraction limit in traditional lithography. Source Optimization (SO) technology is widely used to compensate for imaging distortion in traditional lithography. This paper proposes an effective SO model for plasmonic lithography under the compressed sensing (CS) framework. To accelerate the algorithm, the SO is formulated as an underdetermined linear problem, where the number of equations is much smaller than the source variables. We selected lines, contacts, and complex test patterns to verify the imaging improvements and superiority of the model. The results indicate that compared to the annular sources, optimized sources can achieve better imaging results and higher imaging contrast. This provides favorable conditions for the large-scale application of plasmonic lithography.
Inverse lithography technology (ILT) can optimize the mask to gain the best process window and image quality when the design dimension shrinks. However, as a pixel level correction method, ILT is very time-consuming. In order to make the ILT method useful in real mask fabrication, the runtime of ILT-based optical proximity correction mask must evidently decrease while keeping the good lithographic metric performance. Our study proposes a framework to obtain the curvilinear ILT mask with generative adversarial network (GAN). It is subsequently refined with the traditional ILT to exclude unexpected outliers generated by the GAN method. We design conditional GAN, reverse GAN (RGAN), and high discretion GAN (HDGAN) to generate curvilinear ILT mask. Their runtime and the performance are compared. Compared with the CILT method, the speed of GAN type methods with the afterward refinement is increased by an order of magnitude. The RGAN has a better performance in edge placement error and process variation band evaluation, and HDGAN has a better performance in the mask error enhancement factor evaluation. The designed RGAN and HDGAN are promising in actual application to generate the curvilinear mask. They can evidently decrease the runtime and have better lithographic metric performance.
Features in forbidden pitch have limited exposure latitude and depth of focus in lithography exposure. This paper provides an analysis of forbidden pitch in extreme ultraviolet lithography (EUVL) from the perspective of rigorous simulation and source mask optimization (SMO). In the stage of rigorous simulation, S-litho is used to analyze the normalized image log slope (NILS) of test patterns from different critical layer in 5nm node. Then the process windows of these test patterns are simulated and compared by the lithography simulator Proteus WorkBench. From the result analysis, the forbidden pitches of critical layer in 5nm node are summarized. In addition, the strategy of mitigating the negative effect of forbidden pitch is proposed with the help of computational lithography.
In the mask manufacturing process, the thickness and sidewall angle of mask are usually determined under the condition of vertical incidence. In fact, the incident angle of light on the mask plane is oblique, especially for the freeform source in source mask optimization (SMO). At this time, the thickness and sidewall angle of mask given by previous methods will not be optimal. This paper presents a method of optimizing mask parameters, which makes the transmittance and phase shift are more optimal for lithography process. In this paper, the influence of variations on mask parameters on lithography process is evaluated by the process window. And the process window corresponding to the optimal mask structure given by our method is larger than that of the original mask structure. The conclusion that the previous mask parameters are not the optimal for lithography process is demonstrated by the simulation results.
With the shrinking of critical dimension, the demand for a process window has reached a new level, which is denoted as the depth of focus at certain exposure latitudes. Therefore, high-quality monitoring and controlling of focus shift are becoming more and more critical. With the purpose of providing an optimal focus monitoring mark, which can be applied in freeform or off-axis illumination with a big sigma and hypernumerical aperture (NA) scheme, a global optimization method combined with the idea of a genetic algorithm is developed. For illustration, two optimal mask structures under quasar and freeform illumination conditions are given by the optimized method. The numerical simulations with the lithography simulator PROLITH are provided to demonstrate the performances of these two structures. In addition, the robustness of these optimized structures is analyzed by considering the phase-shift error in mask manufacturing. The above simulation results verify the effectiveness and validity of the proposed optimization methodology and also show that the mask structure provided by the optimized method has the potential to be an efficient candidate for measuring the defocus of scanners in the immersion lithography with hyper NA.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.