As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at lithography and etch process and eliminate film-related defects. These approaches require improvements to the process chemicals and the lithography process equipment to achieve finer patterns. ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) technique has been developed and optimized to fulfil this novel development need. ESPERTTM has demonstrated a capability that can enhance the developing contrast between the EUV exposed and unexposed areas. This paper reviews 23 nm pitch line and space and sub-40 nm pitch pillars which were realized by optimized illuminators with 0.33 NA single exposure, and we will show how ESPERTTM helped improve the minimum critical dimension size, defectivity, roughness and electrical yield at the finer patterns.
Recent advancements in extreme ultraviolet (EUV) lithography have greatly enhanced the manufacturing of fine semiconductor nodes in high volume production (HVM). With the introduction of high NA (numerical aperture) EUV, further miniaturization is expected, and it is necessary to develop lithography technology to accommodate this. Chemically amplified resist (CAR) is widely used in HVM due to their stability and advantages as metal–free resists, and adapting CAR to the High–NA EUV era has important implications. Achieving high resolution in EUV involves a trade–off between resolution, line width roughness (LWR), and sensitivity. Reducing roughness is especially important because roughness can cause pattern defects. This paper aims to reduce CAR roughness and improve the trade–off. We investigated the reduction of roughness through a post–development treatment applied to EUV–exposed patterns. To examine the influence of this post–development treatment, we performed a power spectral density (PSD) analysis of LWR. Additionally, we compared the cross–sectional shape of resist patterns before and after treatment to understand the reactions occurring within the resist. The results showed that the LWR decreased by 13.1% for 44nm line/space (L/S) pitch and 4.0% for 28nm L/S pitch after the post–development treatment. Of particular interest, the use of additional processing demonstrated the potential to reduce low–frequency roughness, which is normally very challenging. These results show the potential for the application of CAR in next–generation lithography.
One of the key steps in the pattern formation chain of extreme ultraviolet (EUV) lithography is the development process to resolve the resist pattern after EUV exposure. The traditional development process might be insufficient to achieve the requirements of ultra-high-resolution features with low defect levels. The aim of this paper is to establish a process to achieve a good roughness, a low defectivity at a low EUV dose, and capability for extremely-high-resolution for high numerical aperture (NA) and hyper-NA EUV lithography. A new development method named ESPERT™ (Enhanced Sensitivity develoPER Technology™) has been introduced to improve the performance of metal oxide-resists (MOR). ESPERT™ as a chemical super resolution technique effectively apodized the MOR chemical image, improving chemical gradient (higher exposure latitude (EL)) and reducing scums (fewer bridge defects). This new development method can also keep the resist profile vertical to mitigate the break defects. The performances of the conventional development and ESPERT™ were evaluated and compared using 0.33 NA EUV, 0.5 NA EUV, and electron beam (EB) exposures, for all line-space (LS), contact hole (CH), and pillar (PL) patterns. Using 0.33 NA EUV scanners on LS patterns, both bridge and break defects were confirmed to be reduced for all 32-nm-pitch, 28-nm-pitch, 26-nm-pitch LS patterns while reducing the EUV dose to size (DtS). In the electrical yield (1 meter length) test of breaks/bridges of 26-nm pitch structures, ESPERT™ reduced EUV dose while its combo yield was almost 100% over a wide dose range of 20mJ/cm². For CH patterns, in the case of 32-nm-pitch AEI (after etch inspection), EL was increased 7.5% up to 22.5%, while failure free latitude (FFL) was widened from 1-nm to 4-nm. A 16-nm-pitch LS pattern was successfully printed with 0.5 NA tool, while a 16-nm-pitch PL and an 18-nm-pitch CH patterns were also achieved with an EB lithography by ESPERT™. With ESPERT™, there was no pillar collapse observed for 12-nm half-pitch PL by 0.5 NA and 8-nm half-pitch PL by EB. With all the advantages of having a high exposure sensitivity, a low defectivity, and an extremely-high-resolution capability, this advanced development method is expected be a solution for high-NA EUV towards hyper-NA EUV lithography.
As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness after lithography and etch processes and eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns. The ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) technique has been developed and optimized to fulfil this novel development need. The ESPERTTM has demonstrated a capability that can enhance the developing contrast between the EUV exposed and unexposed areas. This paper reviews that 23 nm pitch line and space and sub-40 nm pitch pillars patterns were realized by high NILS illuminations with 0.33 NA single exposure, and we will show the ESPERTTM helped reduce the minimum critical dimension size, defectivity and roughness at the finer patterns.
One of the key steps in the pattern formation chain of extreme ultraviolet (EUV) lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might be insufficient to clear the holes in contact-hole (CH) patterns and often causes missing hole defects around target-CD. In prior papers, a new development method named ESPERT™ (Enhanced Sensitivity develoPER Technology™) has been introduced to improve the performance of metal oxide-resists (MOR) for line/space (L/S) and pillar patterns. ESPERT™ as a chemical super resolution technique effectively apodized the MOR chemical image, improving chemical gradient and reducing scums. In this work, this development technique was optimised for CH patterns to reduce both the local CD uniformity (LCDU) and to reduce the levels of missing contact holes at a lower exposure dose. This is made possible thanks to the capability of the updated version of ESPERT™ that can effectively remove the scums (resist residues) inside CH to extend the missing hole defect margins. The high development contrast of the new development technique results also in a much higher exposure latitude. Using 0.33 NA EUV scanners on 36-nmpitch hexagonal patterns, the new development enhanced exposure latitude (EL), failure free latitude (FFL), and failure free dose ranges at both ADI (after development inspection) and AEI (after etch inspection) for two diverse types of MORs. For instance, in the case of the reference MOR developed by ESPERT™, CHs were nicely transferred to a TiN layer, even for small CD holes of 14.7 nm. If compared to the data by conventional development, using the new method, the EL was increased from 16.0% to 49.1%, the FFL was extended from 2 nm to 6 nm, and the failure free dose range was increased from 13.3% to 72.2%. It was also possible to have EUV dose-to-size (DtS) of 28 mJ/cm² with EL of 49.9% at ADI, using the new development. With all those advantages, this new development method is expected to be the solution for CH pattern formation of negative tone MORs in EUV lithography.
Extreme ultraviolet lithography (EUVL) has overcome significant challenges to become an essential enabler to the logic and memory scaling roadmap. Despite its significant progress, resist photo speed, and defectivity remains the main concerns for high-volume manufacturing. To overcome these issues, high-performance EUV resist processes are needed. The high-performance resist process must simultaneously meet multiple requirements, such as a high resolution, high sensitivity, low roughness, low defect level, and good global CD uniformity (CDU). One of the high-performance resist candidates for future EUV scaling, and high NA EUV is Metal Oxide Resist (MOR). In our work, we introduce the new coater/developer hardware and new resist development techniques to improve photo speed, defectivity, and CDU without degradation of roughness in MOR. We will show that the new development methods significantly improve EUV dose to size (DtS) and micro-bridge (MB) while maintaining resist roughness performance post litho and post-etch. The new coater/developer hardware and processes are evaluated through a robust characterization methodology that includes an understanding of the defect modes at ADI (after development inspection) and AEI (after etch inspection), as well its ultimate correlation to electrical yield.
One of the key steps in the pattern formation chain of (extreme ultraviolet) EUV lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might not be sufficient to achieve the requirements of an ultra-high-resolution feature with low defect levels in high numerical aperture (NA) EUV lithography. In our previous literature, a new development method named ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) has been introduced to improve the performance of metal oxide resists (MOR) for 0.33 NA EUV lithography by breaking the dose-roughness trade-off. In this work, this development technique was optimised for high-NA lithography to not only keep the advantages of previous ESPERTTM version, but also reduce the defect levels at a higher EUV sensitivity. This is made possible thanks to the capability of the new version of ESPERTTM that can easily remove the residue (undeveloped resist) at low exposure dose area to enhance the developing contrast. Using 0.33 NA EUV scanners at imec on 16-nm half-pitch (HP) line/space (L/S) patterns, with the new development method, EUV dose-to-size (DtS) was reduced roughly 16%, and total after-development-inspection (ADI) defects was reduced by a factor of approximately 7, simultaneously. In another condition, DtS was reduced from 44.2 to 28.4 mJ/cm² (an improvement of 36%), while the number of after-etch-inspection (AEI) single-bridge defects was reduced by half, simultaneously. Using the 0.5 NA exposure tool at Lawrence Berkeley National Laboratory with this new development method, the exposure sensitivity and line-width-roughness (LWR) were both improved by 30% and 21%, respectively. An 8-nm-HP L/S pattern was also successfully printed by this high NA tool. Using a 150 kV electron-beam (EB) lithography system, a 12-nm-HP of pillars was successfully printed on a 22-nm-thick MOR resist with ESPERTTM. With all the advantages of having a high exposure sensitivity, a low defectivity, and an ultra-high-resolution capability, this new development method is expected to be a solution for high-NA EUV lithography.
As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at lithography and etching and eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns [1]. This paper reviews the ongoing progress in coater/developer processes to enable EUV patterning with sub-30 nm line and space and sub-40 nm pillars by using metal oxide resist (MOR). We show that combining new material with optimized illumination and processes helped reduce the minimum critical dimension size, defectivity, and roughness
Resolution, line edge roughness (LER) and sensitivity (RLS) and defectivity are the well-known critical issues of extreme ultraviolet (EUV) lithography. To break the RLS triangle, metal oxide resist (MOR) is a promising candidate. However, further improvement of MOR process is required for high volume manufacturing to maintain low defectivity. In this paper, conventional and new processes for MOR pitch 32 nm line and space (L/S) and 36 nm pillar patterns was investigated. This new process was able to perform good sensitivity without degrading roughness. In addition, further optimization for underlayer and developer process could mitigate pattern collapses. MOR treatment was evaluated as another technique for roughness improvement. At last, bottom scum defect would be reduced by new process.
In this study we examine several innovations. In lithography, we introduce our latest progress on metal oxide resist (MOR) to extend defectivity window, improve photo-speed, and wafer uniformity control by leveraging new resist development techniques.
On the plasma etch front, we focus on plasma-resist interactions and the impact of the pattern transfer process. Gas chemistry and plasma characteristics can modulate resist rectification, leading to a widening of the defectivity window and smoothing of pattern roughness. Especially, when reducing line-space pattern defectivity, correlations between plasma characteristics and microbridge defect numbers point to a proper process regime for patterning in the sub 30nm pitch era.
As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. New techniques and methods are needed to mitigate pattern defectivity and roughness using both lithography and etch processes to eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns. Additionally, underlayers and resist optimization play a significant role in resist pattern fidelity. This paper reviews the ongoing progress in coater/developer processes that are required to enable EUV patterning by using chemically amplified and metal oxide resists. We will discuss several new techniques for pattern defectivity, roughness, and EUV dose- to- size reduction using coater/developer processes. In addition, we will review our study with various underlayers to enable smaller minimum critical dimension size.
In this talk we present core technology solutions for EUV Patterning and co-optimization between EUV resist and underlayer coating, development and plasma etch transfer to achieve best in class patterning performance. We will introduce new hardware and process innovations to address EUV stochastic issues, and present strategies that can extend into High NA EUV patterning. A strong focus will be placed on dose reduction opportunities, thin resist enablement and resist pattern collapse mitigation technologies. CAR and MOR performance for leading edge design rules will be showcased. As the first High NA EUV scanner is scheduled to be operational in 2023 in the joint high NA lab in Veldhoven, Tokyo Electron will collaborate closely with imec, ASML and our materials partners to accelerate High NA learning and support EUV roadmap extension.
As the industry continues to push the limits of integrated circuit fabrication, reliance on EUV lithography has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at Litho and Etch together with eliminating film-related defects.
These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns.
In particular improvements in the coater/developer hardware and process are required to enable the use of a wide variety of chemicals as well as compatibility with existing systems.
This paper reviews the ongoing progress in coater/developer processes that are required to enable EUV patterning sub-30nm line and space by using MOR (Metal Oxide Resist).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.