EUV lithography enables the transition from multiple patterning in DUV back to single patterning in EUV, with the associated cost benefit. While imaging and patterning becomes easier with EUV, cross-platform overlay performance needs to be taken into account.
With quadruple patterning, the matching performance is driven by the platform capabilities, with platform specific fingerprints not contributing to the matching performance as they are similar for each layer. Introducing EUV automatically means we need to compensate for the differences in the platform fingerprints, as they bring a penalty in the DUV-EUV matching budget.
This paper will explain what the main overlay contributors in cross-platform matched machine overlay are and how they can be cancelled or reduced using additional correction measures, with the goal to reach below 2.0 nm cross matched machine overlay.
For the 28 nm node lithographic production steps, the process window for both overlay and CD are becoming
increasingly tight. The overlay stability of lithography tools must be at a level of 1-2 nm within the product cycle time,
while focus needs to be stable within 5 nm. Well-matched tools are crucial to improve the flexibility of tool usage and
the pressure for higher tool availability is allowing less time for periodic maintenance and tool recovery. Here, we
describe the way of working and results obtained with a long-term stability control application, containing a scanner
performance control system with a correction feedback loop deploying scatterometry. In this study the overlay
performance for immersion scanners was stabilized and the point-to-point difference to a reference is maintained at less
than 4 nm. The capability of tool recovery handling after interventions is demonstrated. Results of overlay matching
between machines are shown. The tool stability for focus was controlled in a range of less than 5 nm while improving the
total focus uniformity.
KEYWORDS: Overlay metrology, Metrology, Semiconducting wafers, Scanners, Back end of line, Lithography, 3D metrology, Finite element methods, Scatterometry, Critical dimension metrology
Advanced lithography is becoming increasingly demanding when speed and sophistication in communication
between litho and metrology (feedback control) are most crucial. Overall requirements are so extreme that all
measures must be taken in order to meet them. This is directly driving the metrology resolution, precision and
matching needs in to deep sub-nanometer level as well as driving the need for higher sampling (throughput).
Keeping the above in mind, a new scatterometry-based platform (called YieldStar) is under development at
ASML. Authors have already published results of a thorough investigation of this promising new metrology
technique which showed excellent results on resolution, precision and matching for overlay, as well as basic and
advanced capabilities for CD. In this technical presentation the authors will report the newest results taken from
YieldStar. This new work is divided in two sections: monitor wafer applications and product wafer applications.
Under the monitor wafer application: overlay, CD and focus applications will be discussed for scanner and track hotplate control. Under the product wafer application: first results from integrated metrology will be reported followed by poly layer and 3D CD reconstruction results from hole layers as well as overlay-results from small (30x60um), process-robust overlay targets are reported.
KEYWORDS: Overlay metrology, Semiconducting wafers, Metrology, Scanners, Lithography, Back end of line, Metals, Scatterometry, Front end of line, Signal to noise ratio
Advanced lithography is becoming increasingly demanding when speed and sophistication in communication
between litho and metrology (feedback control) are most crucial. Overall requirements are so extreme that all
measures must be taken in order to meet them. This is directly driving the metrology resolution, precision and
matching needs in to deep sub-nanometer level [4].
Keeping the above in mind, a new scatterometry-based platform is under development at ASML. Authors have
already published results of a thorough investigation of this promising new metrology technique which showed
excellent results on resolution, precision and matching for overlay, as well as basic and advanced capabilities for
CD [1], [2], [3]. In this technical presentation the authors will report the newest results from this ASML platform.
This new work was divided in two sections: monitor wafer applications (scanner control - overlay, CD and focus)
and product wafer applications.
This paper reports the water-leakage mechanism of the immersion hood in an immersion scanner. The proposed static
analysis reveals the immersion hood design performance in defect distribution. A dynamic water-leakage model traces
the leaked water and identifies its position on the wafer, during exposure. Comparing simulation to experimental results
on bare-silicon and resist-coated wafers, the defect type, source of residuals, and critical settings on the immersion
system were clearly identified.
Control of Isolated and Dense line Bias (IDB) and Line End Shortening (LES) in a lithographic process has become increasingly important, particularly for the 65nm node and below. The IDB depends on many factors, for example, focus, lens aberrations, partial coherence and laser spectral bandwidth. This work studies the impact to IDB and LES from changes in laser bandwidth at two sub-micron process nodes. Careful measurements of both FWHM and E95 bandwidth parameters of the laser spectral profile were carried out using two types of spectrometers. The spectral bandwidth was adjusted over a larger range than normally experienced during wafer exposures by carefully varying the laser operating conditions to provide controlled changes in bandwidth while maintaining all other laser performance parameters within specification. Measurements of both linewidth and LES on several substrates were made and correlated with laser bandwidth to determine the sensitivity of IDB and LES to bandwidth variation. The sensitivity of different structures to E95 bandwidth variation was assessed
193-nm immersion lithography is the only choice for the 45-nm logical node at 120-nm half pitch and extendable to 32-
and 22-nm nodes. The defect problem is one of the critical issues in immersion technology. In this paper, we provided a
methodology to trace the defect source from optical microscope images to its SEM counterparts after exposure. An
optimized exposure routing was also proposed to reduce printing defects. The average defect count was reduced from
19.7 to 4.8 ea/wafer.
This letter reports record-breaking low defect counts for immersion lithography, the mechanism for formation of particle-printing defects, and for two new exposure routings to achieve the low defect counts. Both new routings make the slot-scan directions parallel to the field-stepping directions, whereas in the normal routing the two directions are perpendicular to each other. From experimental data, the average defect count for one of the special routings is 4.8 per wafer, while it is 19.7 per wafer for normal routing.
ArF immersion lithography is essential to extend optical lithography. In this study, we characterized the immersion process on production wafers. Key lithographic manufacturing parameters, overlay, CD uniformity, depth of focus (DOF), optical proximity effects (OPE), and defects are reported. Similar device electrical performance between the immersion and the dry wafers assures electrical compatibility with immersion lithography. The yield results on 90-nm Static Random Access Memory (SRAM) chips confirm doubling of DOF by immersion as expected. Poly images of the 65-nm node from a 0.85NA immersion scanner are also shown.
The concept of system invariance is the principle of scaling law in optical lithography. Both the conservation of the intensity threshold of the aerial image and the invariant pupil filling of the diffracted light with the normalized numerical aperture (NA) have to be satisfactory in order to ensure the invariance for a system in a variety of optical settings. Two well-known scaling equations with k1 and k2 factors characterize the capability of the manufacturing process in microlithography. In theory, the validity of these two equations has to be based on the principle of invariance. Therefore, any optical parameters in exposure tool could be scaling validly and properly, once they obey the principle of invariance.
ArF resist lines are tested using scatterometry to study the CD correlation with CDSEM, profile variation caused by baking temperature and pattern environment, as well as the evaluation of optical proximity effect (OPE). Results show reasonable profiles variation predicted by scatterometry spectra from different baking temperatures. Other good matches are the predicted resist line profiles from dark-field and clear-field pattern environment and various line-pitch ratios. They are found to be very similar with the images from the cross-section SEM. On the other hand, the CD linearity and OPE are also found with good matches between scatterometry CD and SEM CD. However, the maximum pitch size tested for OPE is 0.6 μm. More sparse patterns aer believed to have lower sensitivity caused by the weak characteristics spectrum detected. The spectrum sensitivity is another important topic in this paper. The CD and pitch information is contained across the entire spectrum while small profile variations, like t-top and footing, are predicted in the shorter wavelength region. To predict accurate resist profile for small CD, the usage of the shorter wavelength spectrum is inevitable.
Three parameters, measurement times, charging distances, and charging area, are studied with respect to measurement of the local charging effect. We found that the effects of measurement times and charging distances to the local charging is under observation limit and the measured CD deviation is very small. However, the charging area is found to be the most dominant parameter for local charging. A 7-nm CD deviation from this local charging is observed. After the root cause of the local charging is understood and controlled, we use an extra charging area at the opposite side of the measurement site to compensate for the charging effect. The SEM image and CD deviation are greatly improved after this compensation. At last, a novel measurement algorithm is introduced to deal wiht the actual OPE evaluation. From simulation, the net Coulomb force experienced during the measurement is greatly reduced with the new algorithm compared with that used in the normal measurement sequence. The comparison of the global charging and local charging effects is also discussed in this report.
In an attempt to develop the dual damascene process in 0.13 micrometer design rule, the trench optics, resist usage, reflectivity control and BARC strategy for 0.18 micrometer S/L on 0.20 micrometer via dual damascene process are discussed. The difficulty of 0.18 micrometer trench process will be concentrated by two reasons: First, the trench optics is totally different from the traditional L/S patterns either observing the pupil plane wave vector or the aerial image versus defocus, it contains the intrinsic limitation to drive and enough process DOF. Secondly, the PR residues remain in via due to the weak light incidence into via as soon as trench exposure. The side issues are the MEEF problem in dark field exposure and lens aberration problem enhanced in the use of PSM or some kinds of special customized illumination filter CIFs. As a result, the negative resist together with NA equals 0.55, (sigma) equals 0.8, annular 1/2 illumination were applied, it reveals that all mentioned issues are properly compromised by this optimized condition. It is also found that the PR window and profile is quite sensitive to substrate acidity and reflectivity. When BARC protecting coating and reflectivity control problems are taken into account simultaneously, the thin conformal BARC and fully filled polymer on dual SiOXNY underlayer are introduced to get a good profile and CD control. Experimental results exhibit the feasibility in manufacturing.
Several super resolution techniques, such as phase-shifting mask (PSM) and off-axis illumination (OAI), have been reported to extend the resolution limit and increase the depth-of-focus (DOF) of optical lithography. However, these techniques provide less immunity to spherical aberration than the conventional approaches like chrome binary mask and low coherent illumination. Best focus position shift is the most well known anomalous phenomenon resulted from spherical aberration. In this paper, the origin of best focus shift is explained in pictorial and analytical forms. The phenomenon is evaluated by observing the exposure-defocus windows of sub-0.2micrometers hole patterns from an 18% transmission rim-type attenuated PSM combined with several types of illumination. Under high coherent illumination, severe focus shift was observed in sparse patterns as strong phase-shifting effect is applied. For dense hole patterns, OAI results in abrupt focus position variation at specific pattern pitch. The experimental results show that spherical aberration would induce best focus shift, distortion of process windows, loss of DOF, and shrinkage of iso/dense process window overlap. Two approaches were proposed to suppress the impact of spherical aberration. One is introducing proper amount of phase bias in attenuated PSM to adjust the wave aberration in the lens. The other more feasible method is using a customized illumination. A synthesized illumination aperture was proposed to compensate the focus shift. Excellent lithographic performance was obtained in the experiment from this method.
A great deal of progress has been made in the design of dual damascene process, including via first, trench first, and self-aligned. For overlay, via-first process provides the largest process tolerance to misalignment. However, the positive tone resist face to some difficulties in dual damascene via first approach of photo process, because the 0.18micrometers positive tone trench resist can not be exposed and removed in the 0.20micrometers via hole, observed residues from the SEM cross section profiles after development. In contrast, the negative tone resist show s great advantage in the via first process and producing desired patterns without resist residues in the via hole. In this paper, the design of dual damascene photo process using commercial N702Y (JSR) negative tone resist on DUV43 (Brewer Sc.) Bottom anti reflective coating is evaluated. To improve the depth of focus (DOF) of negative tone resist process, the different resolution enhancement techniques (RET) are investigated fro dense and isolated trench patterns: off-axis illumination (annular ½), attenuated phase shift mask (halftone 6%) with 248nm (NA 0.55) exposure technology, and experimental results regarding to its process performance are presented.
Manufacturing of reticles, which combine both OPC and PSM, is becoming more and more challenge. Materials cost is high, several accurate writing processes are needed and repair is almost impossible. This makes inspection a critical and very complicated process. This study describes an inspection of a test vehicle consisting of 55 cells targeted for sub- wavelength design rule technology. This study describes an inspection of the 55 cells test plate targeted for 0.17 micrometer design rule technology. The plate is written on a MoSi layer with 18% transmission for 248 nm lithography. The MoSi has higher transmittance in I-line and G-line that reduces the contrast between the MoSi and the glass (relative to the usual contrast in binary plates). The technique for inspection by Applied Materials RT8000ES 436nm die-to-database is described. The technique is based on expansion of the reduced dynamic range of gray level that results from the lower contracts, re-gaining the inspection capability. This paper reviews the results of G-line versus I-line inspection of high transmission PSM and describes the method of the sensitivity verification including CD defects analysis.
Off-axis illumination (OAI) has been shown as one of the most practical resolution enhancement techniques (RET) available for optical lithography. A customized off-axis illumination aperture filter (CIF) was designed to gain the benefits of OAI and keep the optical proximity effect (OPE) in a manage-able range for sub-0.18micrometers line and space patterns. The performance of the filter comparing with conventional, annular and quadruple illuminations in term of depth of focus, OPE, throughput, dose and power uniformity for both 0.18micrometers and 0.15micrometers NA Nikon KrF excimer laser stepper with a maximum partial coherence factor of 0.8 is presented in the paper. A brief description of the design principle of the filter is also given. A summarized conclusion on the weakness of the filter and possible improvements is also presented in the paper.
Finding high performance and low cost anti-reflection strategies is a common goal for all photolithographers. This task is getting tough for dual damascene process than the metal-etch process because the oxide thickness variation enhances the thin film interference effect. In this paper, different ARC strategies using organic and inorganic material were examined to compare their CD control performance in sub-0.81micrometers dual damascene structure for KrF 248nm lithography. The organic bottom ARC (BARC) achieves reflectivity control through modulation its thickness. The first and second minimal points in BARC swing curve were chosen as the film thickness to be evaluated. The inorganic ARC, which referred to dielectric ARC (DARC) using PECVD silicon oxynitride in this article, was investigated with single layer and double layers structures. The double- layer DARC structure consists of two layers with different extinction coefficient K values. The optimal refractive index and thickness of each ARC structure were calculated from some available photolithography simulators. A PECVD process for DARC growth that provides easily tunable range of refractive index and thickness was established to meet the DUV process requirement from simulation. The performances of each ARC structure were evaluated on patterning 0.18 micrometers trench and 0.20 micrometers via in back-end- of-line dual damascene process. It showed that the double- layer DARC provided the most effective CD control ability among these ARC structures. The double-layer DARC should be one of the most potential candidates for sub-0.18 micrometers dual damascene process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.