Paper
22 May 2018 Shot noise: A 100 year history, with applications to lithography
Author Affiliations +
Abstract
The term “shot effect” (schroteffekt) was coined in 1918 when Walter Schottky studied electrical noise in vacuum tubes. Earlier still, the foundations of shot noise theory go back to Einstein, who in 1905 explained the photoelectric effect as caused by discrete part particles of light and Brownian motion as caused by discrete particles of matter. When the numbers of particles that affect observable outcomes is large, shot noise effects (variability in number as a fraction of the mean number) becomes small and the continuum approximation (energy and matter are continuous) becomes accurate. For most of the history of semiconductor lithography, the continuum approximation has served well. But at small dimensional scales, where the number of discrete particles or events is small, the counting statistics of shot noise can dominate. The 100 year history of shot noise in science and engineering is today playing a role in our understanding of shot noise in lithography.
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Chris A. Mack "Shot noise: A 100 year history, with applications to lithography", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 1058315 (22 May 2018); https://doi.org/10.1117/12.2305949
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Particles

Stochastic processes

Lithography

Molecules

Failure analysis

Photoresist materials

Chemical species

RELATED CONTENT

An analysis of EUV resist stochastic printing failures
Proceedings of SPIE (September 26 2019)
Stochastic model prediction of pattern-failure
Proceedings of SPIE (March 20 2020)
Molecular glass resists for EUV lithography
Proceedings of SPIE (March 29 2006)

Back to Top