Presentation
19 March 2018 Population statistics of EUV printed MOx resist features (Conference Presentation)
Peter de Schepper, Jason K. Stowers, Michael Greer, Craig Needham, Stephen Meyers, Michael Kocsis, Andrew Grenville
Author Affiliations +
Abstract
The viability of EUV lithography depends upon the accurate placement of hundreds of billions of features per field with critical dimensions less than 30 nm using a minimal photon count. In this photon-limited regime, resist absorbance, radiochemical blur, and nanoscale homogeneity have important impacts on stochastic variability and device yield not captured by standard resist characterization in terms of resolution, line-edge roughness and sensitivity (RLS). Multiple studies have shown that low-probability printing failures critical to device yield are not accurately modeled by 7σ extrapolations from the small populations of features commonly analyzed to extract RLS parameters. Inpria continues to advance the development of high-resolution photo-patternable metal oxide (MOx) hardmasks specifically designed to address these beyond-RLS requirements, and herein we examine the population statistics of large numbers of MOx resist pillars printed on a NXE 3300B scanner. Published experimental lithography data on large numbers of EUV-printed features is limited, even for conventional chemically amplified resists (CARs). Accurate metrology on many millions of features is a resource intensive proposition which partially explains the relative scarcity of these critical data. To address this deficiency, a metrology protocol for analysis of >10 million contact holes or pillars has been developed and applied to features printed using Inpria MOx resists. The stochastic variability of multiple large pillar populations is analyzed as a function of exposure conditions, resist, and process chemistry. By comparing these experimentally observed populations with contact hole populations derived from conventional chemically amplified resists (CARs) the stochastic limits of the respective resist chemistries are probed.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Peter de Schepper, Jason K. Stowers, Michael Greer, Craig Needham, Stephen Meyers, Michael Kocsis, and Andrew Grenville "Population statistics of EUV printed MOx resist features (Conference Presentation)", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 105831J (19 March 2018); https://doi.org/10.1117/12.2299968
Advertisement
Advertisement
KEYWORDS
Stochastic processes

Chemical analysis

Chemically amplified resists

Metrology

Photon counting

Absorbance

Extreme ultraviolet lithography

Back to Top