Presentation + Paper
30 April 2023 EUV lithography line-space pattern rectification using block copolymer directed self-assembly: a roughness and defectivity study
Julie Van Bel, Lander Verstraete, Hyo Seon Suh, Stefan De Gendt, Philippe Bezard, Jelle Vandereyken, Waikin Li, Matteo Beggiato, Amir-Hossein Tamaddon, Christophe Beral, Andreia Santos, Boaz Alperson, YoungJun Her
Author Affiliations +
Abstract
For printing the most critical features in semiconductor devices, single exposure extreme ultraviolet (EUV) lithography is quickly advancing as a replacement for ArF immersion-based multipatterning approaches. However, the transition from 193 nm to 13.5 nm light is severely limiting the number of photons produced by a given source power, leading to photon shot noise in EUV patterns. In addition, inhomogeneous distribution of components inside conventional photoresists is adding to the printing variability, especially when critical dimensions continue to shrink. As a result, stochastic issues leading to rough, non-uniform, and potentially defective patterns have become a major challenge for EUV lithography. A promising solution for this top-down patterning approach is complementing it with bottom-up directed self-assembly (DSA) of block copolymers. In combination with 193i lithography, DSA of lamellae forming block copolymers has previously shown favorable results for defining dense line-space patterns using LiNe flow.1 In this study, we investigate the complementarity of EUV + DSA for rectification of pitch 28 nm line-space patterns. Roughness and defectivity are critical factors that need to be controlled to make these patterns industrially relevant. We look at the impact of DSA material and processing parameters on line edge roughness and line width roughness in order to identify and mitigate the origins of pattern roughness. On the other hand, we also assess the different types of defect modes that are observed by means of optical defect inspection and ebeam review, and study the root causes for their formation. To wrap-up, the benefits of 1X DSA versus 3X DSA are presented by comparing EUV + DSA to LiNe flow.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Julie Van Bel, Lander Verstraete, Hyo Seon Suh, Stefan De Gendt, Philippe Bezard, Jelle Vandereyken, Waikin Li, Matteo Beggiato, Amir-Hossein Tamaddon, Christophe Beral, Andreia Santos, Boaz Alperson, and YoungJun Her "EUV lithography line-space pattern rectification using block copolymer directed self-assembly: a roughness and defectivity study", Proc. SPIE 12497, Novel Patterning Technologies 2023, 124970K (30 April 2023); https://doi.org/10.1117/12.2657990
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Directed self assembly

Block copolymers

Extreme ultraviolet

Annealing

Film thickness

Extreme ultraviolet lithography

Critical dimension metrology

Back to Top