Paper
5 October 2023 Multi-beam mask writing opens up new fields of application
Mathias Tomandl, Christoph Spengler, Christof Klein, Hans Loeschner, Elmar Platzgummer
Author Affiliations +
Proceedings Volume 12802, 38th European Mask and Lithography Conference (EMLC 2023); 1280204 (2023) https://doi.org/10.1117/12.2678532
Event: 38th European Mask and Lithography Conference, 2023, Dresden, Germany
Abstract
Multi-Beam Mask Writers (MBMW) from IMS Nanofabrication disrupted the mask writing technology in the past decade by offering this technology to the industry with a range of benefits over the preceding variable shaped beam (VSB) technology. The MBMW-101 enabled write times independent of pattern complexity, usage of low sensitivity resists at high throughput, and providing superior resolution and critical dimension uniformity (CDU) capabilities. With these benefits, the technology enabled high volume extreme ultra violet (EUV) mask manufacturing for logic and memory applications to the industry. The MBMW-201 is today’s standard technology for leading edge photo masks patterning and used in the most advanced mask shops around the globe. Its superior robustness and powerful write modes allow an unprecedented writing efficiency and resolution capability. Now IMS broadens the spectrum of application for this technology and releases two new products: The MBMW-100 Flex is a versatile mask writer to open multi-beam benefits to mature and intermediate nodes application at high throughput and beneficial total cost of ownership, targeting nodes from 32nm down to 10nm. The MBMW-301: the next generation leading edge mask writer for ultra-low sensitivity resists with resolution and CDU capabilities meeting EUV high numerical aperture (NA) requirements targeting nodes down to 2nm and beyond. This article will delve into the transformational journey of multi-beam mask writing, from its early beginnings to its current status as the cornerstone of EUV mask production and provide an overview on the two new models with performance data and lithography results.
(2023) Published by SPIE. Downloading of the abstract is permitted for personal use only.
Mathias Tomandl, Christoph Spengler, Christof Klein, Hans Loeschner, and Elmar Platzgummer "Multi-beam mask writing opens up new fields of application", Proc. SPIE 12802, 38th European Mask and Lithography Conference (EMLC 2023), 1280204 (5 October 2023); https://doi.org/10.1117/12.2678532
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Distortion

Lenses

Extreme ultraviolet

Magnetism

Nanofabrication

Industry

Projection systems

Back to Top