Paper
19 May 2006 Comparative study of bi-layer attenuating phase-shifting masks for hyper-NA lithography
Author Affiliations +
Abstract
Most IC manufacturers are considering MoSi to be the material of conventional 6% attenuating phase-shifting masks (attPSM) in hyper-NA lithography (50 nm half pitch node and smaller). However, simulation results show that Cr-based binary-intensity mask (BIM) outperforms the attPSM at dense lines and spaces (LS) patterns in hyper-NA lithography. A reason lies in the transmitted polarization state through the mask. The attPSM is found to be a transverse-magnetic polarizer for hyper-NA imaging, while the BIM acts as a transverse-electric polarizer, which is beneficial for imaging. Using a metal-based absorber of the attPSM has potential for improving the degree of polarization of transmitted light. In our previous work absorber thickness of bi-layer attPSM, i.e. Ta/SiO2, was optimized through three-dimensional electromagnetic field (3D-EMF) simulations for better imaging performance than the MoSi attPSM. In this study, the thickness-optimized Ta/SiO2 attPSM was fabricated to compare the imaging performance with the standard Ta/SiO2 and MoSi attPSMs with 6% transmission and 180o phase shift. The thickness-optimized Ta/SiO2 attPSM has 1% transmission due to 50% thicker Ta than the standard, while the 180o phase shift is controlled by SiO2 thickness. The exposure latitude of 45 nm LS delineated by using an NA1.20 full-field scanner with xy-polarized cquadrupole was 15.7%, 13.4%, and 10.1% with depth of focus of 200 nm for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, respectively. Line width roughness of the Ta/SiO2 attPSMs was approximately 5.5 nm for the 45 nm LS, which was comparable to MoSi. Mask-error-enhancement factor (MEEF) of the 45 nm LS was 4.4, 4.9, and 3.8 for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, though the simulation expected MEEF values of 4.1, 5.5, and 6.3, respectively. Because the transmission and the phase shift measured by normal incidence are not linked directly with the imaging performance in the hyper-NA lithography with off-axis illumination, the mask materials and structures need to be optimized by using 3D-EMF simulators for the better imaging quality.
© (2006) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Masaki Yoshizawa, Vicky Philipsen, Leonardus H. A. Leunissen, Eric Hendrickx, Rik Jonckheere, Geert Vandenberghe, Ute Buttgereit, Hans Becker, Corinna Koepernik, and Mathias Irmscher "Comparative study of bi-layer attenuating phase-shifting masks for hyper-NA lithography", Proc. SPIE 6283, Photomask and Next-Generation Lithography Mask Technology XIII, 62831G (19 May 2006); https://doi.org/10.1117/12.681883
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Tantalum

Phase shifts

Photomasks

Lithography

Line width roughness

Polarizers

Scanners

Back to Top