Paper
20 May 2006 Mask specifications for 45-nm node: the impact of immersion lithography and polarized light imaging
Author Affiliations +
Abstract
This paper presents the impact of hyper-NA (NA > 1) lithography on the specification of mask critical-dimension (CD) uniformity. In order to realize the hyper-NA lithography, it is needed to adopt new technologies such as a liquid-immersion setup and polarized light illuminator. In the immersion lithography, it has been shown that the mask CD tolerance can be relaxed if NA is increased. This relaxation originates from the increase of the exposure-latitude (EL) in defocus conditions. As has also been reported, polarized light imaging enhances the EL of line-and-space patterns. This indicates that the application of polarized light imaging may enable us to relax the mask CD tolerance. In this paper, the mask CD relaxation will be discussed based on lithography simulations. In addition, the influences of mask birefringence and state of polarization in illuminator on the wafer CD will be discussed. Quartz substrate used as a mask blank can act as a rotation retarder, because of the presence of intrinsic stress induced in manufacturing processes. Therefore, the state of polarization of mask-transmitted light is disturbed. As a result, wafer CD is affected both by the mask birefringence and state of polarization in illuminator; hence, specification of mask CD uniformity (CDU) is also influenced. In this paper, the specification of mask CDU will be discussed by taking the effect of the impact of state of polarization in illuminator and mask birefringence into account. These results accelerate the practical use of hyper-NA lithography in 45-nm node.
© (2006) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kazuya Iwase, Ken Ozawa, and Fumikatsu Uesawa "Mask specifications for 45-nm node: the impact of immersion lithography and polarized light imaging", Proc. SPIE 6283, Photomask and Next-Generation Lithography Mask Technology XIII, 628337 (20 May 2006); https://doi.org/10.1117/12.681826
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Tolerancing

Polarization

Electroluminescence

Immersion lithography

Lithography

Error analysis

RELATED CONTENT


Back to Top