Paper
8 November 2012 Impact of an etched EUV mask black border on imaging and overlay
Author Affiliations +
Abstract
There are multiple mask parameters that can be tuned to optimize the lithographic performance of the EUV photo mask[1]. One of them is the absorber height. A reduction of the absorber height allows, for example, a higher resolution patterning on mask and reduces the OPC needed for shadowing correction[1][2][5]. Downside of a thinner absorber is the increased reflectivity which manifests itself not only in the image field (contrast loss) but also in the so called light shield area or image border. The image border is a pattern free (absorber covered) area around the die on the photo mask forming the transition area between the part on the mask that is completely shielded from the exposure light by the Reticle Masking (REMA) blades and the die. The image border accommodates the finite REMA placement accuracy and the half shadow of the REMA blades allowing close spaced die printing on the wafer. When printing a die at dense spacing, which is common practice in a production environment, the image border will overlap part of the neighboring die. This causes actinic EUV and DUV out of band light reflection from the image border exposing the overlapped die area and affecting CD and contrast at the edges of the dies. For a 44 nm thick absorber we found a CD impact of 8 nm for 32 nm dense lines[3] whereas for a 55 nm thick absorber the effect was 4 nm for 27 nm dense lines[7]. Increasing the die spacing would prevent this unwanted exposure but results in an unacceptable loss of valuable wafer real estate thereby reducing the yield per wafer and is thus not a viable manufacturing solution. Optical Proximity Correction (OPC) using ASML Brion’s Tachyon NXE model at the edges of the die was proposed as possible solution to this problem[3]. An alternative is to create a so called Black Border: the reflectivity in the image border is reduced to a sufficiently low level by for example increasing the absorber thickness, add a special coating or replace the absorber with a low reflective material[4][5]. The most radical solution is removal of the absorber and the underlying multilayer down to the low reflective substrate, so-called multilayer etching[4][6]. In this paper we will present the effects of such a Black Border created by a multilayer etch on features and their placement on the reticle and the impact on CD of 27 nm dense lines on the wafer. By comparing the wafer CDU printed with and without Black Border we will determine how well the image border effect is mitigated by the multilayer etching.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Natalia Davydova, Robert de Kruif, Norihito Fukugami, Shinpei Kondo, Vicky Philipsen, Eelco van Setten, Brid Connolly, Ad Lammers, Vidya Vaenkatesan, John Zimmerman, and Noreen Harned "Impact of an etched EUV mask black border on imaging and overlay", Proc. SPIE 8522, Photomask Technology 2012, 852206 (8 November 2012); https://doi.org/10.1117/12.964547
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Reticles

Photomasks

Extreme ultraviolet

Etching

Reflectivity

Deep ultraviolet

RELATED CONTENT


Back to Top