Open Access Paper
21 April 2015 Front Matter: Volume 9423
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9423 including the Title Page, Copyright information, Table of Contents, Introduction, and Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper, ” in Alternative Lithographic Technologies VII, edited by Douglas J. Resnick, Christopher Bencher, Proceedings of SPIE Vol. 9423 (SPIE, Bellingham, WA, 2015) Article CID Number.

ISSN: 0277-786X

ISBN: 9781628415254

Published by SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) • Fax +1 360 647 1445

SPIE.org

Copyright © 2015, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/15/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9423_942301_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Ahmad, Ahmad, 0E

Ahn, Chi Won, 1N

Amann, A., 22

Andén, Thomas, 0T

Angelov, Tihomir, 0E

Arellano, Noel, 07

Argoud, M., 17

Atanasov, Ivaylo, 0E

Aydogan, Cemal, 0E

Azuma, Tsukasa, 16

Balakrishnan, Srinivasan, 07

Barke, S., 0U

Barnola, S., 17

Bayana, Hareen, 0M

Bayle, Sébastien, 12

Bekaert, Joost, 05, 06, 18, 1F, 2A

Bérard-Bergery, S., 17, 24

Bilenberg, Brian, 0T

Blaikie, Richard J., 1W

Bläsi, B., 0U

Bonnecaze, Roger T., 0W

Borrisé, Xavier, 0K, 26

Bos, S., 17

Bouanani, S., 17

Brandt, Pieter, 11, 12, 1C

Brink, Markus, 07, 14

Bucchignano, James, 14

Bunday, Benjamin D., 19

Burns, Sean, 0S

Butschke, Joerg, 1A

Cai, Sibo, 1E

Cao, Yi, 05, 0M, 0R, 15

Carilli, Michael, 2C

Carpenter, Corinne L., 1Z

Chamiot-Maitral, G., 17

Chan, Boon Teik, 05, 0M

Chang, Shih-wei, 0N

Chen, Cheng-Hung, 1D

Chen, M. C., 1M

Chen, P. S., 10

Cheng, Joy, 07, 14

Chevalier, X., 17

Chien, Tsung-Chih, 1D

Chikashi, Ito, 0M

Cho, Wooyong, 0S

Choi, Byungil, 1E

Choi, Kang-Hoon, 1B

Chopra, Meghali J., 0W

Colburn, Matthew, 0S, 14

Constancias, Christophe, 1Q

Cooke, M., 0I

Cordini, Marie-Laure, 1Q

Coskun, Tamer H., 1G

Dawes, Simon, 14

Delaney, Kris T., 1I, 1Z, 2C

Dellemann, Gregor, 19

de Pablo, Juan J., 1K

Diemer, Mads, 0T

Doerk, Gregory S., 07

Doise, Jan, 05

Døssing, Michael, 0T

D’Urzo, Lucia, 0M

Eberle, Anna Lena, 19

Esashi, M., 13

Essendrop, Søren, 0T

Essomba, Philippe, 1C

Evangelio, Laura, 25, 26

Farrell, Richard, 0S

Farys, V., 17, 24

Fay, Aurélien, 11, 1Q

Felix, Nelson, 0S

Fenger, Germain, 05, 06, 2A

Fernández-Regúlez, Marta, 26

Fleming, Andrew J., 0F

Fleury, G., 17

Fouquet, A., 17, 24

Franke, Elliott, 0S

Fraxedas, Jordi, 26

Fredrickson, Glenn H., 1I, 1Z, 2C

Fuchimoto, Daisuke, 05

Garbowski, Tomasz, 19

Garnæs, Jørgen, 0T

Garner, Grant, 1K

Gerbolés, M., 0K

Gharbi, A., 17, 24

Ginzburg, Valeriy V., 04, 0N

Glinsner, T., 0U

Goodyear, A., 0I

Gronheid, Roel, 05, 0M, 15, 18, 1F, 1K, 2A

Guillorn, Michael, 07, 0S, 14

Guo, Xuexue, 1U

Gupta, Rachit, 06

Gutsch, Manuela, 1B

Hadziioannou, G., 17

Hagen, C. W., 0I

Hanisch, Norbert, 1B

Harada, Saburo, 0D

Harukawa, Ryota, 0M

Hauser, H., 0U

Hayashi, Naoya, 0D

Hazart, J., 17, 24

He, Yuan, 06

Henderson, Clifford L., 1J, 1L, 1Y

Her, YoungJun, 0R

Hetzer, David, 0S

Hofer, Manuel, 0E

Hohle, Christoph, 1B

Holdsworth, John L., 0F

Holz, Mathias, 0E

Hong, C. S., 1M

Hong, Le, 06

Hsu, Yautzong, 0O

Hur, Su-Mi, 1K

Hustad, Phillip D., 04, 0N

Ibbotson, Dale, 11

Ichimura, Koji, 0D

Ikegami, N., 13

Irmscher, Mathias, 1A

Ishchuk, Valentyn, 0E

Ivanov, Tzvetan, 0E

Izumi, Kenichi, 2C

Jacobs, Alan G., 09

Jang, Hyun Ik, 1N

Jeon, Seok Woo, 1N

Johansson, Alicia C., 0T

Joseph, Eric, 14

Jung, Sung Gon, 0S

Jussot, Julien, 1C

Kaestner, Marcus, 0E

Kamerbeek, M. J., 0I

Kanai, Hideki, 16

Karageorgos, Ioannis, 05

Kasahara, Yusuke, 16

Kawamonzen, Yoshiaki, 16

Kemen, Thomas, 19

Khunsin, W., 22

Kihara, Naoko, 16

Kim, Bongkeun, 2C

Kim, Hee Yeoun, 1N

Kim, JiHoon, 0M, 0R, 15

Kim, Woo Choong, 1N

Kitano, T., 1X

Klaus, David, 14

Ko, Akiteru, 0S

Kobayashi, Katsutoshi, 16

Kodera, Katsuyoshi, 16

Koepernik, Corinna, 1A

Kojima, A., 13

Koshida, N., 13

Kristensen, Anders, 0T

Kristiansen, Tommy Tungelund, 0T

Krivoshapkina, Yana, 0E

Kubota, Hitoshi, 16

Kuo, David, 0O

Kurihara, Masaaki, 0D

Laachi, Nabil, 1I, 1Z, 2C

Lafferty, Neal, 06

Lai, Kafai, 0S

Lapeyre, C., 17

Lattard, Ludovic, 1C, 1Q

Latypov, Azat, 1G

Lawson, Richard A., 1J, 1L, 1Y

Lee, Kim Y., 0O

Lei, Junjiang, 06

Leibold, James, 1V

Lenk, Steve, 0E

Li, Mingqi, 0N

Lie, Fee Li, 0S

Liedel, Clemens, 09

Light, Scott L., 04, 0N

Lin, Burn J., 1D

Lin, Guanyang, 05, 0M, 0R, 15

Lin, Lan, 1U

Lin, Shy-Jay, 10, 1D, 1P

Lipowicz, Hubert-Seweryn, 0E

Lippincott, George, 06

Liu, Chi-Chun (Charlie), 07, 0S

Liu, Jimmy, 1I

Llobet, J., 0K

Lorenzoni, Matteo, 25, 26

Lowrey, Sam, 1W

Ludovice, Peter J., 1J, 1L, 1Y

Lugani, Gurpreet S., 04, 0N

Ma, Yuansheng, 06

Madsen, Morten Hannibal, 0T

Mahadevapuram, Nikhila, 20

Malloy, Matt, 19

Martens, Stephan, 1A

Matsuzaki, K., 1X

Mayer, Theresa S., 1U

Mebiene, Armel-Petit, 1C

Mikkelsen, Niels Jørgen, 0T

Milléquant, Matthieu, 12

Millward, Dan B., 04, 0N

Minegishi, Shinya, 16

Minzari, Daniel, 0T

Mitra, Indranil, 20

Miyagi, Ken, 16

Miyaguchi, H., 13

Miyazoe, Hiroyuki, 14

Mohanty, Nihar, 0S

Monget, C., 17

Morris, M. A., 22

Mukhtar, Maseeh, 19

Müller, C., 0U

Muramatsu, M., 1X

Muroyama, M., 13

Nafus, Kathleen, 0M, 1F, 2A

Nagai, Takaharu, 0D

Nagaswami, Venkat, 0M

Nakano, T., 1X

Nation, Benjamin D., 1J, 1L, 1Y

Navarro, Christophe, 17, 25

Nealey, Paul F., 0M, 15, 1K

Nicolet, Célia, 17, 25

Nielsen, Theodor, 0T

Niroomand, Ardavan, 04

Nishino, H., 13

Nomura, Satoshi, 16

Ober, Christopher K., 09

Okabe, Kye, 18

Ozlem, Melih, 0S

Pain, Laurent, 1Q

Park, Jae Hong, 1N

Park, Jun Yong, 1N

Parnell, Doni, 0M

Pathangi, Hari, 0M

Pérez-Murano, Francesc, 0K, 25, 26

Perraud, L., 17, 24

Petermann, Claire, 0R

Peters, Andrew J., 1J, 1L, 1Y

Peters, Jan Hendrik, 19

Pimenta-Barros, Patricia, 17, 1C, 24

Pourteau, Marie-Line, 1C

Pradelles, Jonathan, 1C

Quach, Dung, 04, 0N

Quoi, Kathy, 19

Rangelow, Ivo W., 0E

Rastogi, Vinayak, 0S

Renault, Guillaume, 12

Rettner, Charles T., 07

Reum, Alexander, 0E

Rincon-Delgadillo, Paulina, 0M, 1K

Routley, Ben S., 0F

Ryckaert, Julien, 05

Sabat, Ribal Georges, 1V

Sailer, Holger, 1A

San Paulo, Alvaro, 25

Sanders, Daniel P., 07, 14

Sansa, M., 0K

Sardana, Charu, 11

Sato, Hironobu, 16

Schnabel, Bernd, 1A

Schneider, P., 0U

Scotuzzi, M., 0I

Seidel, Robert, 1B

Seidel, Robert, 1K

Seino, Yuriko, 16

Servin, Isabelle, 1C, 1Q

Shan, Jianhui, 0R

Sheu, Gene, 1M

Shim, Seongbo, 1E

Shin, Jaw-Jung, 1D

Shin, Youngsoo, 1E

Shiraishi, Masayuki, 16

Shirakashi, J., 13

Shy, S. L., 1M

Simão, C., 22

Singh, Gurpreet, 07

Smistrup, Kristian, 0T

Somervell, Mark, 05, 0S

Sotomayor Torres, C. M., 22

Sreenivasan, S. V., 0C

Steidel, Katja, 1B

Stein, Gila E., 20

Strane, Jay, 0S

Strzalka, Joseph, 20

Suda, R., 13

Sugata, M., 13

T. V., Anil Kumar, 1M

Taboryski, Rafael, 0T

Takeishi, Hiroaki, 0C

Tang, Peter Torben, 0T

Thamdrup, Lasse H., 0T

Thiam, Ndeye Arame, 1C, 1Q

Thiel, Brad, 19

Thompson, Michael O., 09

Thrun, Xaver, 1B

Tiberio, Richard, 18

Tiron, R., 17, 24

Tjio, Melia, 07

Tobana, Toshikatsu, 16

Tokai, K., 0U

Tomita, T., 1X

Torres, J. Andres, 06

Totsu, K., 13

Tranquillin, Céline, 12

Trefonas, Peter, III, 04, 0N

Tritchkov, Alexander, 06

Truong, Hoa, 07

Tsai, HsinYu, 07, 0S, 14

Tsutsumi, Tomohiko, 0R

Tuchapsky, D., 22

Tucher, N., 0U

Tung, Maryann C., 18

Vandenberghe, Geert, 05, 06, 1F

Vandenbroeck, Nadia, 0M

Van Den Heuvel, Dieter, 0M

Van Look, Lieve, 0M

Volk, A., 0U

Wang, W. C., 10

Wang, Wen-Chuan, 1P

Wellens, Ch., 0U

Werner, Thomas, 1B

Wieland, Marco, 11, 12, 1C

Williamson, Lance, 15, 1K

Wong, H.-S. Philip, 18, 1F, 2A

Word, James, 06

Wu, Cheng-Chi, 1P

Wu, Hengpeng, 0R

Wu, Janet, 0N

Wurm, Stefan, 19

Xiao, Shuaigang, 0O

Yagi, M., 13

Yamamoto, K., 1X

Yang, Jaewon, 1E

Yang, Jensen, 1P

Yang, Seunghune, 1E

Yang, Shao-Ming, 1M

Yang, XiaoMin, 0O

Yi, He, 18, 1F, 2A

Yin, Jian, 0R

Yoshida, Kouji, 0D

Yoshida, S., 13

Yoshida, T., 13

You, Jan-Wen, 1D

Zalkovskij, Maksim, 0T

Zeidler, Dirk, 19

Conference Committee

  • Symposium Chair

    • Mircea V. Dusa, ASML US, Inc. (United States)

  • Symposium Co-chair

    • Bruce W. Smith, Rochester Institute of Technology (United States)

  • Conference Chair

    • Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

  • Conference Co-chair

    • Christopher Bencher, Applied Materials, Inc. (United States)

  • Conference Program Committee

    • Frank E. Abboud, Intel Corporation (United States)

    • Alan D. Brodie, KLA-Tencor Corporation (United States)

    • Kenneth R. Carter, University of Massachusetts Amherst (United States)

    • Juan J. de Pablo, The University of Chicago (United States)

    • Elizabeth A. Dobisz, HGST (United States)

    • Michael A. Guillorn, IBM Thomas J. Watson Research Center (United States)

    • Naoya Hayashi, Dai Nippon Printing Company Ltd. (Japan)

    • Daniel J. C. Herr, The University of North Carolina at Greensboro (United States)

    • Tatsuhiko Higashiki, Toshiba Corporation (Japan)

    • James A. Liddle, National Institute of Standards and Technology (United States)

    • Shy-Jay Lin, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

    • Hans Loeschner, IMS Nanofabrication AG (Austria)

    • John G. Maltabes, Hewlett-Packard Laboratories (United States)

    • Dan B. Millward, Micron Technology, Inc. (United States)

    • Laurent Pain, CEA-LETI (France)

    • Ivo W. Rangelow, Technische Universität Ilmenau (Germany)

    • Benjamen M. Rathsack, Tokyo Electron America, Inc. (United States)

    • Ricardo Ruiz, HGST (United States)

    • Frank M. Schellenberg, Consultant (United States)

    • Helmut Schift, Paul Scherrer Institut (Switzerland)

    • Ines A. Stolberg, Vistec Electron Beam Lithography Group (Germany)

    • Kevin T. Turner, University of Pennsylvania (United States)

    • Marco J. Wieland, MAPPER Lithography (Netherlands)

    • Wei Wu, The University of Southern California (United States)

    • Todd R. Younkin, Intel Corporation (United States)

  • Session Chairs

    • 1 Keynote Session

      Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

      Christopher Bencher, Applied Materials, Inc. (United States)

    • 2 DSA Process and Integration

      Joy Y. Cheng, IBM Research - Almaden (United States)

      Dan B. Millward, Micron Technology, Inc. (United States)

    • 3 UV-NIL for IC Manufacturing

      Naoya Hayashi, Dai Nippon Printing Company Ltd. (Japan)

      Tatsuhiko Higashiki, Toshiba Corporation (Japan)

    • 4 Scanning Probe Lithography

      Ivo W. Rangelow, Technische Universität Ilmenau (Germany)

      Alan D. Brodie, KLA-Tencor Corporation (United States)

    • 5 Novel Lithography and Applications

      Wei Wu, The University of Southern California (United States)

      Laurent Pain, CEA-LETI (France)

    • 6 Metrology and Inspection for Directed Self-Assembly: Joint Session with Conferences 9423 and 9424

      Martha I. Sanchez, IBM Research - Almaden (United States)

      Daniel J. C. Herr, The University of North Carolina at Greensboro (United States)

    • 7 DSA Materials and Processes I: Joint Session with Conferences 9425 and 9423

      Juan J. de Pablo, The University of Chicago (United States)

      Roel Gronheid, IMEC (Belgium)

    • 8 DSA Materials and Processes II: Joint Session with Conferences 9425 and 9423

      Todd R. Younkin, Intel Corporation (United States)

      Sean D. Burns, IBM Corporation (United States)

    • 9 Nanoimprint Lithography: Non-IC Applications

      Helmut Schift, Paul Scherrer Institut (Switzerland)

      Kenneth R. Carter, University of Massachusetts Amherst (United States)

    • 10 Multibeam Lithography

      Hans Loeschner, IMS Nanofabrication AG (Austria)

      Frank E. Abboud, Intel Corporation (United States)

    • 11 DSA Line and via Patterning

      Ricardo Ruiz, HGST (United States)

      Benjamen M. Rathsack, Tokyo Electron America, Inc. (United States)

    • 12 Electron-Beam Applications

      Shy-Jay Lin, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

      Ines A. Stolberg, Vistec Electron Beam GmbH (Germany)

    • 13 DSA Design for Manufacturability: Joint Session with Conferences 9423, 9426, and 9427

      Michael A. Guillorn, IBM Thomas J. Watson Research Center (United States)

      Sachiko Kobayashi, Toshiba Corporation (Japan)

      Vivek K. Singh, Intel Corporation (United States)

    • 14 DSA Modeling

      Frank M. Schellenberg, Consultant (United States)

      Elizabeth A. Dobisz, HGST (United States)

Introduction

The semiconductor industry has relentlessly marched down a path which has enabled device dimensions with half pitches as small as 15nm to be realized. Spacer multiple patterning in particular has been invaluable for the NAND Flash market and, in recent years, has found its way into both DRAM and logic based devices. Spacer patterning has not only introduced additional processing costs, but also enabled scaling greater than the tradition 0.7 factor, with multiple device makers continuing to report lower cost per function.

Several options exist to extend the semiconductor technology roadmap beyond the 14nm node. Both top down and bottom up lithographic technologies are now part of the ITRS roadmap, and among the candidates are maskless lithography, nanoimprint lithography and directed self-assembly (DSA). In addition to enabling cost effective solutions for the logic and memory markets, these lithographic solutions also hold the promise of enabling many other key markets that also require high resolution lithography. Included in this list are patterned media for hard disk drives, high brightness light emitting diodes and several display related applications.

Any lithographic technology needs to address several key requirements beyond just resolution. Critical parameters include layout restrictions, defectivity, overlay, grid correction, throughput and cost. In addition, any consideration for high volume manufacturing must include a supporting infrastructure which allows a seamless integration of the new technology into a production facility. The goal of the Alternative Lithography Conference was to review new lithographic solutions, as well as monitor the progress of technologies that could one day become mainstream. The conference did not limit itself to the options listed in the ITRS roadmap and also considered innovative approaches including: plasmonics, micromirror optical lithography, interferometric lithography, tip-based nanolithography, scanning array lithography, dip pen printing and drop-on-demand inkjet lithography.

This year’s conference consisted of more than 78 invited and contributed presentations on these topics. The conference was held over three and a half days, with fourteen oral sessions, a poster session and a panel discussion.

The Alternative Lithography Conference was highlighted by three Keynote presentations Monday afternoon. Speakers included: Dr. Yan Borodovsky from Intel, Dr. Toshiaki Ikoma from Canon, and Dr. Dan Millward of Micron. Dr. Borodovsky emphasized the need for edge placement on complimentary patterning layers and suggested that work was needed on selective growth mechanisms to meet pattern placement specifications. Dr. Ikoma introduced Canon’s nanoimprint lithography program and discussed both progress in the technology space, as well as introducing new imprint systems to the community. Dr. Millward gave an insightful overview on DSA and discussed both progress made, technical hurdles and benchmarked performance versus SAQP (spacer quadruple patterning).

DSA still commands the most attention at this conference and speakers from Intel, TEL and elsewhere reported significant improvements on defect density, for both hole and dense line patterns.

Step and repeat nanoimprint lithography was covered by speakers from Toshiba, Canon, Canon Nanotechnologies and Dai Nippon Imprinting. The invited talk from Toshiba reviewed both the progress made, as well as infrastructure areas where help was still required.

Beam lithography talks were highlighted by two presentations: One from IMS Nanofabrication, which reviewed their progress on a multi-beam mask writer; and a second from Sematech and Zeiss, which discussed the progress made on a multibeam inspection tool for both wafers and masks.

A conference of this magnitude cannot be successful without a dedicated program committee, and our thanks go out to all the committee members for their tireless review of abstracts and help with the organization of the individual sessions. Special thanks are also due to Alex Liddle and Martha Sanchez for hosting a panel discussion on the topic: Dimensional Scaling, Design Optimization, and Metrology: What are We Missing?

Next year, Chris Bencher from Applied Materials and Joy Cheng from IBM will chair the conference. We look forward to your continued support through the submission of abstracts and manuscripts, and look forward to seeing everyone next year.

Douglas J. Resnick

Christopher Bencher

© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9423", Proc. SPIE 9423, Alternative Lithographic Technologies VII, 942301 (21 April 2015); https://doi.org/10.1117/12.2192291
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Directed self assembly

Electron beam lithography

Lithography

Nanoimprint lithography

Ion beam lithography

3D image processing

Image processing

Back to Top