Open Access
11 September 2015 Special Section Guest Editorial: Alternative Lithographic Technologies IV
Author Affiliations +

The SPIE Advanced Lithography Symposium is the most important and widely attended symposium on lithography today, typically attracting over 2000 attendees from more than 30 countries. For anyone working in the field and looking to understand the most current trends, the event constantly attracts the most ground breaking work in the field and attracts the attention of the industry’s key decision makers.

The symposium consists of seven individual conferences that are run more or less in parallel. These conferences cover:

  • Optical Microlithography

  • Extreme Ultraviolet (EUV) Lithography

  • Alternative Lithographic Technologies (ALT)

  • Metrology, Inspection, and Process Control for Microlithography

  • Advances in Patterning Materials and Processes

  • Design-Process-Technology Co-optimization for Manufacturability

  • Advanced Etch Technology for Nanopatterning

The Alternative Lithographic Technologies conference showcases novel lithographic and patterning techniques that provide emerging patterning solutions for applications that are scaled (i.e. 14 nm technology integrated circuitry as defined by the International Technology Roadmap for Semiconductors, or ITRS), scaling-independent, or non-IC related. Our willingness to showcase non-IC related topics makes this conference somewhat unique relative to other advanced lithography conferences and opens the door to applications including bioelectronics and genomics, photovoltaics and related energy applications, disk drives and patterned media, flat panel displays, optoelectronics and LEDs, photonic crystals, negative-refractive-index/meta materials and nanopatterned sensors. In particular we welcome contributions on hybrid approaches that employ a combination of two or more lithographic techniques.

Some of the key topics discussed in the conference are directed self-assembly (DSA), alternative pattern integration techniques (including spacer multiple patterning and self-aligned strategies), nanoimprint lithography (NIL), multibeam writing technologies as needed for leading-edge masks and wafer direct write maskless lithography (ML2), and other novel lithographic approaches.

In this special section of JM3, we are highlighting fifteen papers covering a wide range of topics. Directed self-assembly (DSA) continues to be a hot research topic, and at this year’s conference, more than 50% of the submitted presentations discussed various aspects of the technology. In this special section we have five papers on DSA. The technology has advanced well beyond the proof of concept point, and significant work now focuses on defectivity and root cause analysis. As an example Pathangi et al. studied defect mitigation with a chemo-epitaxy approach at a half pitch of 14 nm. Ma et al., from Mentor Graphics, looked at grapho-epitaxy, as applied to immersion lithography, and concluded that both source mask optimization and inverse lithography were required to achieve sub-80-nm non-L0 pitches values for DSA patterns. Latypov and Coskun discussed the use of DSA-aware print assist features to address feature placement issues. Jacobs et al. from Cornell explored the implementation of laser-induced millisecond annealing and discovered that a 10 msec laser anneal followed by a hot plate treatment reduced defectivity by more than 80%. In the final paper on this subject, Ou et al. studied the DSA aware mask optimization problem as a means of providing more friendly designs on cut layers.

There are also five papers on electron beam technology, covering both lithography and deposition methods. Four of the papers specifically cover the topic of multibeam direct write. Koshida et al. reviewed the development of a ballistic hot electron emitter and its application to parallel processing. There were two submissions from MAPPER Lithography. The first paper by Brandt et al. discussed an alternative stitching method for massively parallel e-beam systems based on locally enhanced exposure latitude. A second paper by Brandt examined simulations of critical layers of a 20 nm node circuit for electron multi-beam direct write. Tang et al. covered the topic of data compression algorithms and concluded that data compression can occur at very high data rates. Finally, Scotuzzi et al. demonstrated the possibility of electron beam induced deposition to form sub-10 nm structures at aspect ratios of approximately 5:1.

The remaining five papers touched on a diverse array of subjects relevant to the theme of the conference. The Rangelow Group from the Ilmenau University of Technology submitted two papers. The first, by Kaestner et al., reviewed their approach to high-speed scanning probe lithography and demonstrated sub-10 nm resolution over large areas. The second, by Ahmad et al., demonstrated the improved performance of self-actuated atomic force microscopy cantilevers with integrated two-dimensional electron gas piezoresistive deflection sensors. On the subject of nanoimprint lithography, Hauser et al. discussed the application of photovoltaics, and demonstrated a 7% improvement in optical efficiency by creating a honeycomb texture on a multicrystalline silicon solar cell. Llobet et al. described a method that combines the use of focused ion beam implantation, selective silicon etching, and diffusive boron doping to create functional nanowire resonators in silicon. The last paper in this group from Dixit et al. presented a sensitivity analysis and line edge roughness calculation using Mueller matrix spectroscopic ellipsometry.

We would like to thank all of the authors for their contributions. We also hope you find these articles interesting and continue to follow the development of alternative lithographic technologies at the conference, in the conference proceedings and in future special sections in JM3.

Biography

Douglas J. Resnick is the vice president of marketing and business development for Canon Nanotechnologies. Prior to this role, he served as the VP of mask technology and later as the VP of strategic development for Molecular Imprints. He has authored or coauthored over 170 technical publications and is an inventor of more than 30 U.S. patents. He has served as the conference chair for both the EIPBN and SPIE Microlithography Symposiums. He received his PhD from the Ohio State University in the field of solid state physics.

Ricardo Ruiz is a research manager within the Advanced Media Technologies at HGST, a Western Digital Company. From 2012 to 2014 he managed the Nanofabrication and Self Assembly Group, establishing nanofabrication strategies for sub-15 nm lithography. His research interests focus on directed self-assembly and fundamentals of pattern formation. He was a postdoctoral fellow at IBM T.J. Watson and before that at Cornell University. He received his PhD in physics from Vanderbilt University in 2003.

Hans Loeschner born 1945, received a PhD in experimental physics from the University of Vienna. He is co-founder and senior advisor of IMS Nanofabrication AG, Vienna, Austria, co-inventor of > 20 patents and (co)author of > 200 publications. Hans is co-founder of the Erwin Schroedinger Society for Nanosciences. He served as MNE conference program chair in Vienna in 1988 and 2005. In 2012 he received an MNE Fellowship Award for the advancement of electron and ion multibeam technologies.

© 2015 Society of Photo-Optical Instrumentation Engineers (SPIE)
Douglas J. Resnick, Ricardo Ruiz, and Hans Loeschner "Special Section Guest Editorial: Alternative Lithographic Technologies IV," Journal of Micro/Nanolithography, MEMS, and MOEMS 14(3), 031201 (11 September 2015). https://doi.org/10.1117/1.JMM.14.3.031201
Published: 11 September 2015
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

Directed self assembly

Optical lithography

Extreme ultraviolet lithography

Nanofabrication

Nanoimprint lithography

Nanotechnology

RELATED CONTENT


Back to Top