Open Access
28 January 2021 Pattern roughness analysis using power spectral density: application and impact in photoresist formulation
Author Affiliations +
Abstract

Linewidth roughness (LWR) remains a difficult challenge for improvement in resist materials. We intend to review work that focused on the impact of key components of LWR by analyzing the unbiased power spectral density (PSD) curves. We studied systematic changes to ArF resist formulations and correlated these changes to the overall PSD curves. In this manner, we could extract LWR 3σ values and resist correlation length and the low/high-frequency roughness components. We also investigated the relationship between PSD and LWR through lithographic/etch processing and demonstrated which PSD components correspond to the largest impact on LWR. This work was extended further to investigate how frequency components are influenced by basic resist properties such as diffusion and aerial image properties such as normalized image log-slope (NILS). Particular attention was given to how changes in correlation length affected LWR as feature size decreases. We also looked at the impact of diffusion or resist blur on PSD(0) as a function of NILS. Finally, we will review how LWR improvement can be achieved by several strategies that focus on both PSD(0) and correlation length (ξ) and not a single LWR number. The trends presented highlight the true nature of LWR with respect to its high and low-frequency components. It also shows the benefits of measuring and developing resists as a function of roughness power spectral density and not as a function of a single LWR measurement.

1.

Introduction

As the critical dimensions (CD) of lines reduce in both ArFi (immersion at a wavelength of 193 nm) and extreme ultraviolet (at a wavelength of 13.5 nm) lithography, the magnitude of the roughness measured from these lines can be a significant fraction of the pattern linewidth. Linewidth roughness (LWR) when measured as a single averaged number value, a 3-sigma roughness measurement, can differ by values that are small enough to be within the noise limits of the measurement. A traditional approach to differentiate LWR between resists is to increase the number of measurements. However, continuing to make progress with LWR reduction and distinguishing which resists show improvement is becoming increasingly difficult. With the ability to (1) detect feature edges from images without removing high-frequency roughness (i.e., without the use of image filtering) and (2) measure unbiased LWR, we now can define feature roughness using a signal processing technique called power spectral density (PSD). Edge or width roughness can be considered as a waveform with frequency content to gain characterization benefits. These frequency-domain characteristics reveal more information than if roughness is analyzed using summary statistics alone.1,2 Thus, frequency-domain characterization can differentiate between formulations and characterize LWR in terms of how different spatial frequencies influence resist feature roughness.3 This type of analysis in its simplest form can differentiate between two resists that may appear to have the same 3σ LWR value. Understanding how the roughness of two resists changes over different frequency ranges gives insight into how two resists with the same LWR may perform through subsequent etch processes. As the power spectral density of lines is analyzed as a function of standard resist and process changes, in many cases, systematic trends emerge that give information about how to optimize LWR at a given feature. When the PSD is measured through decreasing normalized image log-slope (NILS) or increasing resolution, a relationship emerges that defines how intrinsic properties of LWR frequency analysis are inherently related to resist performance through resolution. PSD analysis fundamentally defines a resist pattern in a way that leads to more information about many aspects of the lithographic process and resist design.

A recent publication proposes a new approach to roughness reduction: to overcome the scaling issues associated with LWR and resist blur (correlation length), resists should be developed that have low PSD(0) and low correlation length while selecting etch processes to improve the high-frequency roughness.4 This theory is proposed from an understanding of how LWR is derived from frequency analysis of a line and classical resist LWR models. A significant amount of work has been published on measuring and modeling the impact of etch on LWR and high-frequency smoothing.59 The work supports previous results and extends frequency analysis further. In Sec. 3.1, three formulation design of experiments (DOEs) were run and the results were analyzed using our standard LWR methods and using MetroLER for PSD analysis. The internally generated PSD output variables after a standard photo/develop process were then correlated with LWR values generated at an external fab, both after a standard photolithography/development process and after a subsequent etch process.10,11 The results were a first step in turning PSD analysis into a tool that can guide resist development. The intent of the work was to answer two questions: (1) Do specific PSD output variables correlate with trends in formulation component parameters and (2) can PSD analysis of images of a resist after a specific lithography process predict how these resists would perform both after a different photolithography/develop process and, further, after subsequent etch or etch trim processes? Section 3.2 investigated how NILS and diffusion of photoacids (from either process or resist) impact PSD(0) and correlation length.12 Finally, Sec. 3.3, shows how the combination of these learnings can be employed to improve resist design and ultimately gain the ability to predict LWR or formulation performance at different NILS conditions when run at an external fab. The work in this section also investigates the possibility for multiple formulation strategies to achieve LWR goals.

Before reviewing experimental results and findings, a basic understanding of PSD analysis and how to collect the appropriate metrology data is required. PSD averaging and implementing appropriate metrology parameter settings are important in PSD analysis.

1.1.

Power Spectral Density Analysis

The spatial frequency of LWR is expressed by a PSD curve and quantifies amplitude variance of the edge or linewidth per unit frequency. Graphically, it is typically represented on a log–log scale, as shown in Fig. 1, where the y axis is the power or variance of the line per unit frequency, and the x axis is the frequency, which is the inverse of the length of the line over which the variance is measured. Low-frequency roughness occurs over longer length scales and the high-frequency region occurs over shorter length scales. The flat region of the graph in the low-frequency region is termed PSD(0). This is the region or length scale where events that influence the PSD are considered “uncorrelated.” This low-frequency value of the PSD is an estimate of PSD(0), the value of PSD of an infinitely long line (zero frequency). In resist terms, PSD(0) comes from random independent events produced by mechanisms such as photon absorption, random fluctuations of chemical concentrations in a film, or stochastic photon shot noise. The point at which the graph curves down is the length scale where the edge roughness becomes correlated. Such events are no longer independent but reflect the occurrence of a mechanism that is correlated, such as the path-wise reaction-diffusion of an individual photoacid in a chemically amplified resist film. The inflection point is described by the correlation length (ξ) and at this point the power begins to fall at a frequency of 1/2πξ. The slope of the high-frequency region of the PSD is defined as 2H+1 where H is the roughness exponent (Hurst exponent). For the purpose of this work, H is set to 0.5 for all analysis, which is the theoretical value expected when an ideal reaction-diffusion process is driving the correlation.14 The variance (or 1σ LWR squared) is defined as the area under the curve and is a function of three PSD parameters, PSD(0) or the flat low-frequency region, the correlation length (proportional to diffusion or resist blur), and the slope (H).

Fig. 1

An example of how the roughness of a line edge relates to a PSD curve. A typical PSD can be described by three parameters: PSD(0), the PSD value in the flat low-frequency region, the correlation length ξ, and the roughness exponent H. Line roughness (variance) is the area under the PSD curve. Figure from Ref. 13.

JM3_20_1_010901_f001.png

A primary goal for lithographic process optimization is reducing the area under the PSD graph. An approximation of the relationship between variance and the PSD parameters is

Eq. (1)

σ2PSD(0)(2H+1)ξ,
where for a given PSD(0) increasing the correlation length reduces line variance. Increasing correlation length, however, can be thought of as an increase in resist blur and can impact the effective image-log slope (ILS) and PSD(0), hence, increasing the correlation length is ultimately not the best direction for improving LWR.4 PSD(0) is directly proportional to the variance. Figure 2 is an example of how the shape of the PSD governs the overall LWR. Despite the two curves having the same variance, the two lines can now be effectively described using the correlation length and PSD(0). From a resist design perspective, the question becomes which PSD values most improve LWR?

Fig. 2

Two edges with the same 3σ LER but very different PSD behavior. Figure from Ref. 4.

JM3_20_1_010901_f002.png

To understand what PSD output values to target, it helps to understand how the resist is utilized. The function of a patterned resist in the initial study was to act as an etch barrier in a photoresist trimming process and ultimately into the underlying substrate. Figure 3 shows an example of what can happen to an unbiased PSD during a typical etch process. The length scale or frequency domain impacted through etch is the high-frequency roughness. The correlation length is effectively increased while the unbiased PSD(0) remains unchanged, hence reducing the overall variance or area under the PSD curve. If this holds true for a given etch process, designing a resist with lower PSD(0) and smaller correlation length may result in larger post-photolithography/develop LWR, but may also result in a larger reduction in LWR through the etch process. The larger high-frequency LWR after develop may be reduced through the etch process as long as PSD(0) is low. In this approach, the PSD targets for post-photolithography develop process would be low PSD(0) and mid to low (though possibly not the lowest) correlation length. The results reported in subsequent sections support this hypothesis.

Fig. 3

Typical example of the unbiased PSD of roughness before and after etch. Unbiased PSD(0) is unchanged through etch but correlation length is increased.

JM3_20_1_010901_f003.png

Transforming the resist line roughness into its PSD enables LWR to be expressed in terms of two potentially independent variables [PSD(0) and ξ] instead of a single dependent variable [i.e., the 3σ LWR], which has the effect of retaining more information about the resist roughness behavior. In practice, the three variables 3σ LWR, PSD(0), and ξ will be used to fully characterize the roughness behavior of a feature.

2.

Experimental

2.1.

Sample Preparation

The photoresists were formulated with 193-nm photoresist polymer, photoacid generater (PAG), quencher, and solvents. Due to the proprietary nature of these materials, the details of their composition are not disclosed. The solutions were filtered through a 0.02-μm polytetrafluoroethylene filter prior to evaluation.

2.2.

Wafer Coating and Lithographic Evaluation

Thin films (900 Å) were prepared by spin coating on an industry standard antireflective coating on 300-mm HMDS-primed wafers using a TEL CLEAN TRACK™ LITHIUS I + wafer resist processing system. Films were exposed with an 193-nm lithography immersion process using an ASML 1900i wafer photoreduction scanner. CD-SEM imaging was carried out using a Hitachi CG4000 SEM. Images were captured using a square scan 1024×1024  pixels at 100 K magnification and 500 V. Pixel size was 1.32 nm in both x and y. Post-lithographic LWR and PSD evaluation were carried out internally on positive tone 43-, 45-, and 55-nm 1:1 lines/space patterns. These corresponded to NILS of 1.91, 2.35, and 3.01, respectively. All three features were imaged and processed on the same wafer. In Sec. 3.3, the 38-nm 1:1 lines/spaces were imaged on separate wafers under extreme dipole conditions with a NILS of 2.4.

2.3.

Metrology and PSD Analysis

Unbiased image analysis plus PSD analysis and unbiased LWR measurements were carried out using MetroLER v1.1 (Sec. 3.1) or v1.7 (Sec. 3.2) from Fractilia. In Sec. 3.3 some images were analyzed with MetroLER v2.2. Only data run in the same version is compared. All PSDs are averaged over 20 images and images were analyzed for systemic abnormalities and field distortions.15 PSD outputs were exported into Excel from the DOE mode in MetroLER. Every LWR measurement is an averaged value from 20 images down a line to capture a more statistically significant representation of 3σ LWR for each sample. In each scanning electron microscope (SEM) image, the center 11 lines were analyzed, with a total of 220 lines for each sample.

Biased image analysis was performed using the Hitachi CG4000 standard software package. These internal biased LWR measurements were obtained on the identical images as the unbiased LWR and PSD analysis provided by MetroLER. As with the unbiased LWR, each standard biased LWR measurement was averaged over the same number of images and lines. LWR generated from these standard screening methods (Table 1, columns 1 and 2) used Hitachi CD-SEM software with a 50% threshold. Two sets of biased 3σ LWR measurements were obtained from the Hitachi CD-SEM in Table 1. The first was from smaller images 512×512  pixels, which has historically been our standard method for LWR measurement. The second biased 3σ LWR measurements were obtained from a different part of the same set of lines but this time with larger field of view, 1024×1024  pixels. After images capture the longer lines were also analyzed with MetroLER for unbiased measurements.

Table 1

Dimension and magnification of images for LWR and PSD measurements.

STD down LWR imageSquare image for PSD analysis
# Pixels per image512×5121024×1024
Magnification200 k100 k
Line length645 nm1.35  μm
Images averaged per resist2020
Lines averaged per sample for PSDsNA200

Table 1 outlines image dimensions and averaging used for LWR measurements for both the unbiased and biased analyses.

PSD analysis begins with measuring the noise in the edge detection metrology and subtracting it out to produce an unbiased PSD.10,1618 The unbiased PSD as a function of spatial frequency f is then characterized by fitting the PSD data to a model.

Eq. (2)

PSD(f)=PSD(0)1+(2πfξ)2H+1.
The three model parameters, PSD(0), correlation length ξ, and roughness exponent H, are determined by the best fit of this model to the data.

3.

Results and Discussion

3.1.

Understanding Trends in LWR through Process Based on Formulation and PSD

Three DOEs in this section were run independently at DuPont, where PSDs and LWR were measured. Samples were also sent to an external fabrication or processing facility (fab) where only post-develop and post etch-trim LWR values were measured, with no PSD analysis.5,6

3.1.1.

DOE 1 (matrix polymer study)

The first DOE looked at systematic matrix polymer changes. The goal of the first experiment was to test the hypothesis that using PSD analysis of internal images could help to predict the LWR response especially through a post-photo/etch trim process at an external fab. It was often noted that the LWR obtained after a standard photo/develop process did not correlate with the LWR obtained after an etch-trim process. Many previous studies had focused on the Ohnishi parameter or other materials properties thought to influence how a formulation responds to an etch process.19,20

Figure 4(a) shows two graphs, the first is post-develop biased LWR (generated at an external fab) versus unbiased PSD(0) measurements generated with DuPont images. Figure 4(b) shows post- etch-trim biased LWR (generated externally) against the same unbiased PSD(0) measurements generated at DuPont. In this dataset, we observed that Resist G had a much lower PSD(0) value than Resist E but they show similar post-develop LWR. Since LWR is a function of the total area under the PSD curve this result is plausible as shown in Fig. 2. In theory, however, the lower PSD(0) resist is predicted to have lower LWR after a trim process (or a bigger delta between litho and etch LWR) if correlation length is also low. The data in Fig. 4(b) support this hypothesis in that Resist G now shows a significantly lower LWR than Resist E and a more linear trend develops between unbiased PSD(0) and post-etch trim LWR. Resist A and B showed the same trend with similar post-develop LWR and post-etch-trim LWR that is more correlated with each resist’s respective unbiased PSD(0).

Fig. 4

External (a) fab post-photo and (b) post-photo/etch trim biased LWR (3σ) verses unbiased PSD(0). “Resist G” has a lower PSD(0) than “Resist E” but higher post-photo LWR. After the etch trim process the LWR of Resist G reduces significantly in LWR and Resist E with the higher PSD(0) stays high. Shapes/color of symbols indicate functional group change. 55-nm L/S LWR with 55-nm PSDs.

JM3_20_1_010901_f004.png

A closer look at the relationship between post etch-trim LWR and PSD(0) [Fig. 4(b)] reveals a highly significant fit when etch-trim LWR is expressed as a function of the square root of PSD(0) (R2=0.90) rather than a linear fit. This experimentally driven relationship supports the theoretically derived relationship between LWR and the square route of PSD(0) in the variance Eq. (1) (i.e., when correlation lengths are colinear and roughness exponent are about constant).

3.1.2.

DOE 2 (formulation study)

A second DOE where polymer matrix was held constant and the formulation was varied supported the trends seen in DOE 1. Another goal of DOE 2 was to investigate whether PSD analysis showed significant formulation trends that were not captured by analyzing LWR alone. The results showed significant trends supporting the use of PSD analysis as can be seen in Fig. 5, where DuPont-generated unbiased LWR measurements and PSD variables were graphed against various formulation components. Changes in formulation variables that did not correlate well with 3σ LWR started to show surprisingly linear trends with PSD variables even when formulation components were significantly different. Results from Fig. 6 showed that if 3σ LWR was the only metric used to analyze this data, the low PSD(0) at higher loadings of component 2 would not revealed. This is significant because we saw from DOE 1 that low PSD(0) can lead to a lower 3σ LWR through a photo/etch process even when post-develop LWR seems high.

Fig. 5

(a) DuPont-generated unbiased 3σ LWR measurements versus loading of formulation component 2. (b) Graphing unbiased PSD(0) from Dow images verses loading of formulation component 2 shows more linear trend. Color represents component 1 type and shape indicates component 1 concentration.

JM3_20_1_010901_f005.png

Fig. 6

Delta LWR (post-photo LWR – etch-trim LWR) versus (DuPont) generated post-photo correlation length. 55-nm L/S LWR with 55-nm PSDs

JM3_20_1_010901_f006.png

As with the previous DOE 1, formulations in DOE 2 were also run at an external Fab, and LWR was collected both post-photo and post-etch trim. The resists that showed the highest LWR delta through process also had the smallest correlation length from the PSD analysis as shown in Fig. 6. The resists with more high-frequency roughness (smaller correlation length) and low PSD(0) are predicted to show the largest reduction in LWR through process.

From the initial work in DOE 1 and DOE 2, both showed a significant relationship between PSD(0) and correlation length, as shown in Fig. 7. If correlation length is a measure of resist blur, then this data supports the supposition that higher resist blur results in higher PSD(0).5 It is plausible that some variables can impact both PSD(0) and correlation length in a non-linear way. The relationship between the two could change depending on the underlying mechanism in formulation. PAGs for example can potentially impact both correlated and uncorrelated events simultaneously within a formulation. PAG light absorption is an uncorrelated event, and when photoacid generation is a purely photolytic mechanism, such as 193-nm exposure, original location of photoacid is also an uncorrelated event, whereas the diffusion and deprotection mechanisms are correlated.

Fig. 7

Both (a) DOE 1 and (b) DOE 2 show shown significant linear correlation between PSD(0) and correlation length.

JM3_20_1_010901_f007.png

Formulations contain many chemical components that can impact correlated and uncorrelated roughness, hence it is also plausible that in a more complicated resist DOE, correlation length and PSD(0) would not follow a simple linear relationship. An example of this was found in DOE 3 (Fig. 8), where multiple matrix polymer and formulation components were all varied, and correlation length and PSD(0) did not correlate. In this DOE we also found that a higher correlation length could drive an overall reduction in etch-trim LWR, even when PSD(0) was not the lowest, because the total area under the PSD curve governed LWR. The possibility to impact PSD(0) and correlation length with less co-dependence through formulation offers greater flexibility in formulation design. It alludes to the potential ability to dial in a low PSD(0) and either a high OR low correlation length depending on optical and etch requirements.

Fig. 8

DOE 3 changes both polymer and formulation and shows no obvious correlation between PSD(0) and correlation length.

JM3_20_1_010901_f008.png

As a result of the uncorrelated nature of PSD(0) and correlation length in DOE 3, it was found that the PSD variables individually did not predict absolute trends in post-develop or post-etch-trim LWR run externally. However, LWR was still a function of the combined PSD output and correlated with PSD(0)/correlation length (Fig. 9).

Fig. 9

When correlation length and PSD(0) are not correlated, etch-trim LWR does not correlate with PSD(0) alone but is better described by both PSD components in the relationship defined by the variance Eq. (1). 55-nm L/S LWR with 55-nm PSDs

JM3_20_1_010901_f009.png

The predictive nature of PSD variables was still apparent in DOE 3 with a clear trend between the develop/etch-trim LWR delta and correlation length. This relationship can be defined by the same fit in both DOE 2 and 3 as seen in Fig. 10. The develop/etch-trim delta shows a significant relationship with reciprocal correlation length. Defining a relationship between etch-trim 3σ LWR delta and correlation length is very useful when designing materials for an etch process.

Fig. 10

Etch-trim delta and unbiased correlation length for DOE 2 and 3 show a similar fit with reciprocal correlation length. 55-nm L/S LWR with 55-nm PSDs.

JM3_20_1_010901_f010.png

3.2.

Observed Relationships between PSD(0) and Correlation Length with Respect to Aerial Image and Diffusion

During resist improvement studies, we observed that higher diffusion resist components could improve standard LWR with larger feature sizes (at high NILS), but LWR would dramatically increase at smaller feature sizes (lower NILS). The inverse of this was also observed, in which case resists with mediocre LWR at high NILS would yield the lowest relative LWR at low NILS. We hypothesized that the resultant LWR at different NILS was a function of the changes that were occurring among the LWR frequency components of PSD(0) and correlation length. Resists in the first example, where LWR was low at high NILS but not at low NILS, were hypothesized to be “correlation length driven” resists (Resists 10 and 11 in Fig. 11), where the ratio of PSD(0)/correlation length, as described in Eq. (1), was low at high NILS based on the higher correlation length. In some cases these were not the lowest PSD(0) resists. In these correlation length driven resists, the impact of diffusion to maximize high-frequency smoothing and increase correlation length were combined to reduce the overall roughness of the line. At high NILS, higher diffusion could be tolerated and used to reduce LWR. At low NILS, we hypothesized that the high amount of resist blur reduced the gradient of the effective image log-slope to the point where LWR increased significantly (Resists 10 and 11, Fig. 11). The inverse example of a resist in which LWR was similar to others at high NILS but displayed one of the lowest LWR at lower NILS was also thought to be due to how LWR frequency components were affected through NILS. This type of resist was thought to be an example of both PSD(0) and correlation length being low, e.g., as demonstrated by Resists 12 to 14 in Fig. 11. In this type of resist, the higher NILS LWR may be similar to others because the ratio of PSD(0)/correlation length can be high if the PSD(0) is not low enough to compensate for the increase in high-frequency roughness associated with reduced correlation length. These resists were hypothesized to give relatively mediocre LWR at high NILS due to reduced amount of resist acid diffusion blur and lower initial correlation length, but displayed improved LWR at lower NILS again due to the reduced amount of resist blur and low PSD(0).

Fig. 11

Unbiased LWR of five resists at (a) 55-nm dense lines (NILS=3.01) and (b) 43-nm dense lines (NILS=1.9), corresponding with either high or low correlation length and/or PSD(0) frequency components measured on 55-nm dense lines.

JM3_20_1_010901_f011.png

Changes observed in LWR through NILS, along with initial frequency analysis, motivated the desire to understand the impacts of diffusion and NILS on LWR frequency components. Simple resist DOEs were designed to study this further.7 The simplest way to increase diffusion within a photoresist apart from changing the PAG is increasing post-exposure bake (PEB) temperature. Therefore, further experiments were set up systematically varying both PAG molecular size and PEB temperature.

In this section, the impacts of process conditions and resist parameters through aerial image on both correlation length and PSD(0) were examined more closely. The ultimate goal was to understand how PSD(0) and correlation length contributed to optimum LWR through resolution and to understand the relationship between PSD(0) and correlation length. Although correlation length and LWR have been investigated through PEB previously,21 the prior work investigated the impact of PEB diffusion on correlation length and LWR for one feature. In this work, additional, unbiased PSD(0) and unbiased LWR through NILS was compared with PSD(0)/ξ. We showed trends that repeated through three independent DOEs.22

Figure 12 shows three different resists that differed by degree of photoacid diffusivity. Each resist was then processed at low, medium, or high PEB temperatures, then imaged at three feature sizes on the same wafer that ranged in NILS values from 3 (55-nm 1:1) to 1.9 (43-nm 1:1). Figure 12(a) shows as expected that correlation length increased with PEB temperature and hence diffusion within the resist increased. Correlation length also increased as photoacid diffusivity increases. Less expected was that correlation length also increased as NILS decreased.23 A detailed hypothesis for the impact of reduced NILS on correlation length is discussed elsewhere.12

Fig. 12

(a) Correlation length and (b) PSD(0) as a function of increased PEB temperature (“low,” “mid,” and “high”), change in PAG (low, mid, and high diffusion), and NILS. Error bars are two times the standard error and are equivalent to 95% confidence intervals.

JM3_20_1_010901_f012.png

Figure 12(b) shows that PSD(0) did not increase in the same way as correlation length through PEB temperature. At high NILS (lower resolution features of 55 nm) there is a point where increasing correlation length did not increase PSD(0). As NILS decreased (resolution increased) at higher correlation lengths, PSD(0) values increased dramatically. At this point the impact of resist blur on the effective image log-slope at lower NILS was thought to detrimentally impact the LWR and resolution limits of the resist causing PSD(0) to increase. The impact of changes in correlation length and PSD(0) on LWR optimization is shown in Fig. 13, where the reduction in unbiased LWR was most evident when correlation length increased at 55- and 45-nm features and PSD(0) did not change or decreased. From this the optimum 3σ LWR was described as the point where correlation length is at the highest point before it starts to significantly increase PSD(0) for a given feature. The point at which PSD(0) starts to increase is intrinsically related to resolution limits of each resist and less diffusion is often required at smaller (lower NILS) features.

Fig. 13

Unbiased LWR as a function of increased PEB temperature (low, mid, and high), change in PAG and NILS. Error bars are two times the standard error and are equivalent to 95% confidence intervals.

JM3_20_1_010901_f013.png

Figure 14 shows how the changes in unbiased LWR can be explained and verified by changes occurring with LWR frequency components. In this graph, the unbiased LWR on the y axis is replaced with the sqrt(PSD(0)/correlation length). Expressing LWR as a function of correlation length and PSD(0) combined shows the same trends through PEB, NILS and formulation, as observed in the measured unbiased LWR (Fig. 13). This confirms that the trends we observed are likely not measurement artifacts but a real function of the resist response to varying NILS and PEB at different frequencies down the feature.

Fig. 14

DOE 3: ratio of PSD(0) to correlation length as a function of increased PEB temperature (low, mid, and high), change in PAG, and NILS. Error bars are two times the standard error and are equivalent to 95% confidence intervals.

JM3_20_1_010901_f014.png

Figure 15 also shows experimentally, in a similar manner to Fig. 14, how the theoretical relationship described in Eq. (1) between correlation length and PSD(0) translates to unbiased 3σ LWR values. It is important to remember that unbiased LWR is measured independently of the PSD analysis although both are carried out on the same sets of lines. Now, if two resists have the same LWR, frequency analysis can determine how much of that LWR is in the low or high-frequency regions of the line.

Fig. 15

Unbiased LWR versus SQRT [PSD(0)/correlation length (ξ)]: 9 wafers (nine resist formulation/process combinations), with three feature sizes measured on each wafer (55-, 45-, and 43-nm 1:1).

JM3_20_1_010901_f015.png

Figure 16(a) shows experimentally that for a 55-nm feature the lowest unbiased LWR results from high correlation length and low PSD(0), as expected from Eq. (1). Figure 16(b) shows frequency parameters of a 55-nm feature graphed against 43-nm LWR of the same resists. The lowest 43 nm LWR corresponds to low PSD(0) (from 55-nm feature) and now lower correlation length (from 55-nm feature). From this type of analysis and an understanding of how frequency parameters change through NILS, the LWR performance of a resist can be better understood and predicted through a range of features that may range from high to low NILS.

Fig. 16

(a) JMP (statistical software from SAS) prediction profiler showing lower 55-nm LWR corresponds to higher correlation length and lower PSD(0); (b) Decreasing 55-nm feature correlation length and PSD(0) show improved LWR at 43-nm features. Circles are high photoacid diffusion, squares are mid photoacid diffusion, and triangles are low photoacid diffusion.

JM3_20_1_010901_f016.png

3.3.

LWR Reduction and Analysis Strategies Utilizing PSDs

Developing formulation strategies that provide LWR improvement internally and at different manufacturing sites is crucial for helping the industry meet its LWR targets. The ability to break down LWR into its different frequency components allows the development of models that pinpoint areas of correlation. It also provides information for targeted formulations and materials design that specifically move PSD(0) or correlation length values in a particular direction. Given that 3σ LWR is proportional to PSD(0)/ξ, we hypothesized that for a given feature, low post-develop LWR could be achieved by a resist with the highest correlation length (resist blur) that could be tolerated before significantly increasing PSD(0). Generally, a low PSD(0) will drive to lower observed LWR, one can also understand since LWR is a ratio, if correlation length is sufficiently high enough in relation to the PSD(0), this approach can also lower the LWR. For the results reported in this section (unlike in Sec. 3.1), the etch process had little impact on the LWR, hence resist development focused on reducing the post-develop LWR.

Figure 17 shows data collected from 40 resists where PSD variables were processed internally from DuPont metrology. Resists were also sent to an external wafer processing site and LWR before and after a mild etch process was collected at a similar feature size. Contour maps were used to plot internally measured PSD(0) and correlation length on the X/Y axis, respectively, whereas the 3σ LWR collected externally was represented as color-coded contour lines. The contours in Fig. 17 clearly show a bimodal trend for the best LWR (dark blue zones) with respect to roughness frequency analysis components. This indicates there are multiple formulation strategies that could be applied to reduce LWR. The top blue zone represents resists with relatively higher correlation lengths than PSD(0). The resists in this region have less high-frequency roughness and mid-range frequency roughness, which reduces the area under the PSD curve and overall LWR. The lower dark blue region in Fig. 17 contains resists with significantly lower correlation lengths, therefore, more high-frequency roughness but lower PSD(0) or long-range roughness. In these resists, the low PSD(0) compensates for the increased high-frequency roughness usually associated with low correlation length resists. If the correlation length decreases more than the PSD(0) does, the overall LWR will increase. The resists in the lower blue region would be expected to have larger LWR delta through an etch process. However, if the etch process has minimal impact on LWR (as in this case) developing low correlation length resists offers less advantage.

Fig. 17

PSD(0) and correlation length of resists measured on 55-nm 1:1 features at DuPont using MetroLER. Post-develop LWR was measured at an external site on the same resists. Approximately 65-nm L/S LWR with 55-nm PSDs

JM3_20_1_010901_f017.png

The internal post-develop frequency analysis components were graphed against the external etch-trim LWR data in Fig. 18. The bimodal LWR distribution is still present with less very high LWR values between the two regions with the lowest LWR (dark blue region). The highest LWR both before and after etch is associated with high PSD(0).

Fig. 18

PSD(0) and correlation length of resists measured on post develop 55-nm 1:1 features at DuPont using MetroLER. The contour lines are post-etch-trim LWR measured at an external site on the same resists. Approximately 55-nm L/S LWR with 55-nm PSDs post litho.

JM3_20_1_010901_f018.png

During this etch process, the change in LWR is small, 3   or less; therefore, the delta-LWR was much less a factor for consideration compared to previous work. However, in general, Fig. 19 shows that the larger etch-deltas are predominantly in the region with the smaller correlation length resists. In general, the LWR-deltas for this process are not big enough to transition high post-develop LWR resists into the lowest post-etch-trim LWR regions.

Fig. 19

PSD(0) and correlation length of resists measured on post develop 55-nm 1:1 features at DuPont using MetroLER. The contour lines are the difference between post-trim (55-nm line) and post-develop LWR (65-nm line) measured at an external site on the same resists.

JM3_20_1_010901_f019.png

Correlating internal frequency analysis with external LWR measurements can give formulation direction that shows there is more than one approach to reducing LWR for a given feature, but it also gives useful information on directing formulation efforts for smaller features at lower NILS as well. As discussed in Sec. 3.2 of this review, correlation length and PSD(0) increase as the aerial image degrades with decreasing NILS, therefore knowing which resists have lower correlation length and PSD(0) when printing larger features can guide resist selection when good LWR performance is also required for smaller feature sizes. Knowing the LWR frequency analysis can also help select a general-purpose resist that gives low LWR over a larger range of features. An example of PSD analysis at larger features predicting general LWR direction of smaller or lower NILS features is seen in Fig. 20, where a series of resists run at larger 55-nm features (shown in Figs. 17 and 18) were selected based upon their PSD analysis. The goal was to select resists ranging from high to low PSD(0) and correlation length values. The hypothesis was that resists with lower correlation length and PSD(0) at 55 nm (NILS3) would give the lowest LWR at smaller, lower NILS features (<38  nm). Higher correlation resists were also selected that gave the lowest LWR at the 55-nm feature. The concept of lower correlation length resists corresponding to lower LWR at a lower NILS feature was introduced in Fig. 4. In the current experiment, Dupont-internal PSD analysis of 55-nm lines was successfully used to predict LWR of smaller features for an external (non-DuPont) process requirement. Figure 20(a) shows the 55-nm PSD analysis of resists selected for testing at <38-nm features. Figure 20(b) shows the LWR of the smaller feature against 55-nm PSD analysis of the same resists. The highest correlation length resist that gave one of the lowest LWR values in Fig. 17 (55-nm externally) did not image at the lower NILS feature and the lowest correlation length and PSD(0) at 55-nm 1:1 corresponded with the lowest LWR at the lower NILS feature as predicted.

Fig. 20

(a) Correlation length and PSD(0) of selected resists at 55-nm 1:1 feature that range from low to high. (b) The PSD analysis of 55-nm 1:1 features measured at DuPont versus the contours of LWR measured externally of a smaller feature <38  nm with lower NILS. 38-nm L/S LWR with 55-nm PSDs.

JM3_20_1_010901_f020.png

Twelve resists that showed low correlation length and PSD(0) at 55 nm, which were processed externally at the 38-nm feature, and were also imaged at 38-nm 1:1 at DuPont. The 38-nm 1:1 feature frequency analysis was carried out at DuPont, again at a similar NILS to the external resist process. Although only 12 resists were measured, a similar trend in the contour plots is starting to develop for this lower NILS feature as was seen in Figs. 17 and 18. In Fig. 21, the dark blue regions that represent lower LWR resist at the smaller feature, are now predominantly located in two regions on the graph (PSD(0)/ξof 12/<9 and 14/10.5, respectively). This trend is similar to the bimodal trend shown in Fig. 17 for the distribution of lowest LWR in PSD space,. When the PSD components were used from 55 nm to predict LWR at the 38-nm feature, the lowest LWR is in lowest correlation length /PSD(0) portion of the graph as seen in Fig. 20. A more recent version of MetroLER (v2.0.2) was used for the PSD analysis in Fig. 21 and correlation length values are a little different.

Fig. 21

Frequency analysis measured at DuPont on 38-nm 1:1 features with LWR measured externally on a similar NILS feature <38  nm using extreme dipole. <38-nm LWR with 38-nm PSDs.

JM3_20_1_010901_f021.png

4.

Conclusions

In this paper, the value of understanding the frequency components of LWR has been reviewed. LWR frequency analysis allows the differentiation of resists with the same 3σ LWR values and further extends this to showing how through-etch-performance can be understood or predicted. As theory predicts, resists with more high-frequency roughness (low correlation length) are likely to show the largest change in LWR through an etch process due to the high-frequency smoothing effects of etch. It has also been demonstrated how PSD analysis can be used to understand the effect of formulation components on roughness over different frequency ranges in a way that is not obvious through 3σ LWR measurement alone.

In the second part of this review, we explored the impact of diffusion and aerial image on PSD analysis and investigated the relationship between correlation length and PSD(0) as diffusion increases and aerial image is degraded. The impact of photoacid diffusion on PSD(0) was shown to be different than for correlation length, in that up to a certain photoacid diffusion limit PSD(0) either stayed constant or decreased. The optimal photoacid diffusion for reducing LWR, occurs when PSD(0) decreases or remains constant while correlation length increases. When the two parameters are co-optimized, the LWR is minimized. As photoacid diffusion increases further, both correlation length and PSD(0) increase significantly, with the combined impact of significantly increasing the resultant LWR. The concept of a photoacid diffusion optimum and an increase in correlation length with increased diffusion is not new, but we showed how the dual combination of PSD(0)/correlation length experimentally influences unbiased LWR. When observing the impact of decreasing NILS on LWR frequency components, we found as expected that PSD(0) increased. We also found that correlation length increased through decreasing NILS. The increase in correlation length can impact an image in two ways: it can decrease LWR if PSD(0) has not increased significantly (typically a higher NILS or lower photoacid diffusion case); or if PSD(0) also simultaneously increases with increasing correlation length, the combined impact can significantly increase LWR as the PSD(0) plays a dominant role in defining the total area under the PSD curve. The resolution or NILS has a significant impact on which regime takes effect.

The third results section of this review shows how the learning from the first two sections was implemented in a formulation strategy and in selecting high performance resists at different feature sizes for external evaluation. When LWR is broken down into its frequency analysis components, the ratio of PSD(0) and correlation length allows more accurate selection of formulation approaches for low LWR. When using minimal-LWR as the single factor directing the optimization of resist formulation and process, it was found that the LWR optimum reached may only work on a restricted set of pattern sizes (i.e., restricted NILS range). However, if both reducing PSD(0) and correlation length are used as a two-factor approach to optimizing resist formulation and process, then the resultant optimum generally works on a wider set of pattern sizes (broader NILS). Using the two-factor approach also allows targeted optimization for a given feature. As the NILS of a feature is reduced, the correlation length and PSD(0) required for low LWR and good resolution both need to decrease relative to higher NILS features. A two-variable approach for image analysis gives improved predictive power. It can indicate which resists are likely to give lower LWR at smaller features before any lithography is run as shown in Fig. 20. Understanding the detailed nature of LWR can significantly influence formulation design and more efficiently aid the resist selection and optimization process for different nodes.

Acknowledgments

We would like to acknowledge the members of the DuPont Electronics & Imaging resist development team, including John Nelson, Jason DeSisto, Rochelle Rena, James Park, Mingqi Li, Emad Aqad, Xisen Hou, Tomas Marangoni, and Joshua Kaitz. With special thanks to Shintaro Yamada and Ed Orr. This work was enabled by DuPont Electronics & Imaging Lithography division director Cheng Bai Xu and Fractilia LLC.

References

1. 

V. Constantoudis et al., “Line edge roughness and critical dimension variation: fractal characterization and comparison using model functions,” J. Vac. Sci. Technol., B22 (4), 1974 –1981 (2004). https://doi.org/10.1116/1.1776561 JVSTAL 0022-5355 Google Scholar

2. 

C. A. Mack, “Systematic errors in the measurement of power spectral density,” J. Micro/Nanolithogr. MEMS MOEMS, 12 (3), 033016 (2013). https://doi.org/10.1117/1.JMM.12.3.033016 Google Scholar

3. 

C. A. Mack and B. D. Bunday, “Using the analytical Linescan model for SEM metrology,” Proc. SPIE, 10145 101451R (2017). https://doi.org/10.1117/12.2258631 PSISDG 0277-786X Google Scholar

4. 

C. A. Mack, “Reducing roughness in extreme ultraviolet lithography,” Proc. SPIE, 10450 104500P (2017). https://doi.org/10.1117/12.2281605 PSISDG 0277-786X Google Scholar

5. 

A. R. Pawloski et al., “The transfer of photoresist LER through etch,” Proc. SPIE, 6153 615318 (2006). https://doi.org/10.1117/12.652206 PSISDG 0277-786X Google Scholar

6. 

V. Constantoudis et al., “Line-edge roughness transfer during plasma etching: modeling approaches and comparison with experimental results,” J. Micro/Nanolithogr. MEMS MOEMS, 8 (4), 043004 (2009). https://doi.org/10.1117/1.3268365 Google Scholar

7. 

V. Constantoudis et al., “Effects of resist sidewall morphology on line-edge roughness reduction and transfer during etching: is the resist sidewall after development isotropic or anisotropic?,” J. Micro/Nanolithogr. MEMS MOEMS, 9 (4), 041209 (2010). https://doi.org/10.1117/1.3497601 Google Scholar

8. 

T. Wallow et al., “Line edge roughness in 193 nm resists: lithographic aspects and etch transfer,” Proc. SPIE, 6519 651919 (2007). https://doi.org/10.1117/12.712319 PSISDG 0277-786X Google Scholar

9. 

P. Foubert et al., “Impact of post-litho LWR smoothing processes on the post-etch patterning result,” Proc. SPIE, 7972 797213 (2011). https://doi.org/10.1117/12.881433 PSISDG 0277-786X Google Scholar

10. 

C. Cutler et al., “Roughness power spectral density as a function of resist parameters and its impact through process,” Proc. SPIE, 10587 1058707 (2018). https://doi.org/10.1117/12.2297690 PSISDG 0277-786X Google Scholar

11. 

C. Cutler et al., “Utilizing roughness power spectral density variables to guide resist formulation and understand impact of frequency analysis through process,” J. Photopolym. Sci. Technol., 31 (6), 679 –687 (2018). https://doi.org/10.2494/photopolymer.31.679 JSTEEW 0914-9244 Google Scholar

12. 

C. Cutler et al., “Roughness power spectral density as a function of aerial image and basic process/resist parameter,” Proc. SPIE, 10960 109600I (2019). https://doi.org/10.1117/12.2515073 PSISDG 0277-786X Google Scholar

13. 

C. A. Mack, “Reducing roughness in extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (4), 041006 (2018). https://doi.org/10.1117/1.JMM.17.4.041006 Google Scholar

14. 

C. A. Mack, “Reaction-diffusion power spectral density,” J. Micro/Nanolithogr. MEMS MOEMS, 11 (4), 043007 (2012). https://doi.org/10.1117/1.JMM.11.4.043007 Google Scholar

15. 

G. F. Lorusso et al., “Unbiased roughness measurements: subtracting out SEM effects,” Microelectron. Eng., 190 33 –37 (2018). https://doi.org/10.1016/j.mee.2018.01.010 MIENEF 0167-9317 Google Scholar

16. 

G. F. Lorusso et al., “Need for LWR metrology standardization: the imec roughness protocol,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (4), 041009 (2018). https://doi.org/10.1117/1.JMM.17.4.041009 Google Scholar

17. 

G. F. Lorusso et al., “Unbiased roughness measurements: subtracting out SEM effects, part 2,” J. Vac. Sci. Technol. B, 36 (6), 06J503 (2018). https://doi.org/10.1116/1.5046477 JVTBD9 1071-1023 Google Scholar

18. 

C. A. Mack, F. Van Roey and G. F. Lorusso, “Unbiased roughness measurements: subtracting out SEM effects, part 3,” Proc. SPIE, 10959 109590P (2019). https://doi.org/10.1117/12.2515898 PSISDG 0277-786X Google Scholar

19. 

T. Wallow et al., “Reactive ion etching of 193 nm resist candidates: current platforms, future requirements,” Proc. SPIE, 3333 92 –101 (1998). https://doi.org/10.1117/12.312362 PSISDG 0277-786X Google Scholar

20. 

E. Gogolides et al., “Photoresist etch resistance enhancement using novel polycarbocyclic derivatives as additives,” J. Vac. Sci. Technol. B, 21 (1), 141 –147 (2003). https://doi.org/10.1116/1.1535930 JVTBD9 1071-1023 Google Scholar

21. 

D. Van Steenwinckel et al., “Lithographic importance of acid diffusion in chemically amplified resists,” Proc. SPIE, 5753 269 –280 (2005). https://doi.org/10.1117/12.598677598677 PSISDG 0277-786X Google Scholar

22. 

C. Cutler et al., “Roughness power spectral density as a function of aerial image and basic process/resist parameters,” J. Photopolym. Sci. Technol., 32 (6), 779 –790 (2020). https://doi.org/10.2494/photopolymer.32.779 JSTEEW 0914-9244 Google Scholar

23. 

G. M. Gallatin, “Resist blur and line edge roughness,” Proc. SPIE, 5754 38 –52 (2004). https://doi.org/10.1117/12.607233 PSISDG 0277-786X Google Scholar

Biography

Charlotte Cutler is currently a principle process engineer at Tokyo Electron Technology Center of America. However, most of her career has been focused on photoresist and lithographic materials product development at DuPont Electronics and Imaging. Her main interests include understanding and improving LWR and fundamental formulation science. She is originally from New Zealand and received her PhD at The Intelligent Polymer Research Institute in Wollongong, Australia, in the area of conducting polymers.

Chris Mack developed the lithography simulation software PROLITH and founded and ran the company FINLE Technologies for ten years. After FINLE was acquired by KLA-Tencor in 2000, he served as vice president of lithography technology for KLA-Tencor for five years. He received his SEMI Award for North America for his efforts in lithography simulation and education in 2003 and he received his SPIE Frits Zernike Award for Microlithography in 2009. He is a fellow of SPIE, OSA, and IEEE and is also an adjunct faculty member at the University of Texas at Austin. From 2012 to 2019, he was editor-in-chief of the Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3). In 2017 he cofounded Fractilia, where he now works as chief technical officer developing metrology solutions for the measurement of roughness.

Biographies of the other authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Charlotte Cutler, James W. Thackeray, Peter Trefonas, Dan B. Millward, Choong Bong Lee, and Chris A. Mack "Pattern roughness analysis using power spectral density: application and impact in photoresist formulation," Journal of Micro/Nanopatterning, Materials, and Metrology 20(1), 010901 (28 January 2021). https://doi.org/10.1117/1.JMM.20.1.010901
Received: 16 September 2020; Accepted: 17 December 2020; Published: 28 January 2021
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Line width roughness

Nanoimprint lithography

Diffusion

Etching

Diffractive optical elements

Photoresist processing

Image analysis

RELATED CONTENT


Back to Top