Open Access
8 May 2021 Contribution of mask defectivity in stochastics of EUVL-based wafer printing
Author Affiliations +
Abstract

Two earlier publications showed that mask defectivity contributes to the stochastics of the EUVL-printed image on wafer. The present contribution gives more insights into the methodology and resist models used therein. In addition, an extended study of two types of mask roughness is presented, comprising mask absorber line-edge roughness and rippling of the multilayer mirror on the mask. For both, it is shown that contributions to stochastics are larger than expected purely from normalized intensity log-slope considerations. As a second topic, printability of local defects is readdressed at smaller pitches and more state-of-the-art illumination settings, in preparation to a genuine study of mask defectivity contribution to wafer printing stochastics at high-NA EUV lithography. First results for one-dimensional mask patterns indicate an influence of the anamorphic characteristic of high-NA imaging, showing a different behavior for vertical and horizontal orientations.

1.

Introduction

In extreme ultraviolet (EUV) lithography, the mask is one of the enablers of semiconductor device production near atomic level dimensions using 13.5 nm light. The mask is a Bragg reflector that must hold precision in the range of one-quarter of the working wavelength, just over six silicon atoms aligned in a polycrystalline lattice. When mask precision relaxes to allow defects >3.4  nm (i.e., λ4), the possibility of the defect impacting the wafer pattern arises. A large mask defect has a catastrophic impact on the wafer pattern and causes unacceptable yield loss. However, mask defects of a smaller size can contribute to the stochasticity of EUV-imaged wafer patterns1 even when their average impact is less than a 10% change in the printed critical dimension (CD).2 This may cause yield loss resulting from the stochastic interaction between the mask defect and the wafer pattern formation in photoresist.

Earlier work showed that a defect introduced into the EUV mask multilayer (ML) produces a universal curve relating the CD deviation caused by its presence and failure probability.2 This curve holds for other mask defect types such as opaque absorber defects and fall-on particles. This prior work was restricted to lines and spaces (L/S) patterns with 32 nm pitch at 1× in the vertical direction with respect to the EUV system chief ray angle (CRA). A later publication3 showed the curve depended on the pitch of the L/S patterns. This study seeks to extend the range of the universal curve to include horizontal direction and L/S patterns imaged under high NA.

Another class of mask defectivity is the nonlocal mask deficiency (NLMD).3 An NLMD is defined as any mask shortcoming that is not confined to a specific location on the mask. It has a large area character and can vary from site-to-site on the mask or even produce a variation across a small range. It relates to any aspect that deviates from its target specification. NLMDs include mask absorber line-edge roughness (LER), mask surface roughness, and a contamination growth, to name a few.

2.

Study Methodology

Stochastic simulations were used to investigate mask defect impact on stochastic wafer defectivity in an EUV system. The software package used to conduct the study was Sentaurus Lithography by Synopsys.

For consistency in this study, mask parameters are given at true mask size, typically at 4× in the X direction, while wafer features are presented at drawn scale. To aid reference frame clarity, 1× or 4× are explicitly included in most cases to indicate wafer scale or mask scale, respectively.

2.1.

Optical and Mask Model Components

This study investigates three pitches exposed under different conditions, as detailed in Table 1. Both the 32- and 28-nm pitches were chosen due to their relevance to industry at the time of the study. Pitch 22 was chosen as it was the limit of image formation in the resist model used for the 32- and 28-nm 1× pitches. The 32-nm pitch with 16  nm/16  nm L/S 1× (P32) studies were simulated using two EUV optical settings referred to as old and new. This double simulation facilitated the comparison of previous publications2,3 using dipole illumination with the work presented here, which uses the leaf-shaped optical settings. Both illuminators are shown in Fig. 1.

Table 1

Optical and mask parameters for simulation. Note parameter D for full leaf illumination is explained in Fig. 1.

Pitch 32 1× oldPitch 32 1× new and pitch 28 1×Pitch 22 1×
Wavelength (nm)13.513.513.5
NA0.330.330.55
Maximum addressable sigma0.911
Defocus (nm)000
Reduction (X,Y)(4×,4×)(4×,4×)(4×,8×)
Obscuration0.21 circular
CRA (deg665.355
IlluminationDipoleDipoleDipole
Inner radius 0.353Full leafFull leaf
Outer radius 0.879D 1.5734 nmD 1.5734 nm
Angle 90 deg
Mask absorber 4× (mask scale)14 nm TaBON2 nm TaBON14 nm TaBON
56 nm TaBN58 nm TaBN56 nm TaBN
31.6 nm Ni
32 nm RuRe
ML cap (nm) 4× Si top layerRu2.5
Si4.172520
ML stack440 ML units
ML unit (nm) 4×MoSi20.473704
Mo2.006752
MoSi22.581500
Si1.986416
ToneDark field

Fig. 1

Illuminator configurations used in this study. (a) P32 old dipole vertical; (b) P32 new and P28 horizontal using leaf illuminator; (c) P32 new and P28 vertical using leaf; (d) P22 horizontal (for 0.55 NA) using leaf; and (e) P22 vertical (for 0.55 NA) using leaf. For P22 and P28 leaves are the same configuration. Parameter D for full leaf illumination in Table 1 corresponds to the center-to-center distance of the two lobes.

JM3_20_2_021003_f001.png

The most significant differences between the new and old P32 optical systems are the illuminator shape and mask absorber thickness. The source shape variation is the result of the simulation baseline shift from an NXE:3350B to NXE:3400C EUV scanner.5 All parameters are the same for horizontal and vertical illuminations, except the source orientation. Figure 1 shows source images and Fig. 2 contains pupil images. Appendix A lists material constants used in this study.

Fig. 2

Pupil configurations for this study. (a) The 0.33 NA isomorphic cases and (b) the 0.55 NA anamorphic cases.

JM3_20_2_021003_f002.png

As in previous works,2,3 the simulations are undertaken at the center of the exposure slit, i.e., for nonshadowed vertical lines and spaces. Moreover, this study adds horizontal lines and spaces at slit center, which are subjected to maximum shadowing by the mask absorber.

The new pitch 28 illuminator and pitch 22 illuminator are set to the same leaf-shaped illuminator, although the L/S NA combinations have different optimized leaf shapes. This was inspired by previous work’s understanding that universal defectivity curves2,3 are impacted by changes in illumination conditions. Although pitch will also change universal curve behavior, the reduced variation was considered useful to aid data analysis and interpretation. In addition, an anticipated horizontal and vertical patterning differences, in view of the slit and scan direction magnification factor difference for 0.55NA anamorphic imaging, have triggered the horizontal orientation inclusion in this study.

2.2.

Resist Model Components

The P32 model used in these simulations and in the previous works2,3 was constructed from experience to emulate an EUV CAR positive tone resist, developed in a tetramethyl ammonium hydroxide, while purposefully increasing its stochastic rate. The stochastic rate was increased to facilitate defectivity study in a localized area for multiple simulations in an acceptable computational time. Computation time becomes an issue when considering defectivity at simulation scale, which is on the order of 104 to 105  nm2 1×. Optically scanned defects attributed to all defect classes may occur on the order of 106  defectspercm2 1×, which is approximately a rate of 1 defect in 1000 simulated regions when the simulation region is 105  nm2 1×. To bring the defectivity rate to a value where a signal could be reasonably studied, such as a rate of 1:500 defects or less with no mask defect present, the chemical reaction noise was increased artificially by increasing the photo acid generator (PAG) and quencher diffusion lengths. By increasing these lengths, more PAG and quencher interactions occur during simulation which effectively increases the number of low probability defect events. (Note: Other methods such as changing focus could increase wafer defectivity rates and thereby aid study analysis. These methods were considered but were not preferred over the adopted diffusion length approach.)

This “elevated” EUV CAR model was used to investigate printed wafer defectivity response for P32 at 0.33 NA, as in previous studies,2,3 and 28 nm pitch with 14  nm/14  nm L/S 1× (P28) at 0.33 NA. The wafer defectivity for P28 with no mask defect in place was around 35 defects in 20,000 simulation runs, a ratio of 1:571. When applying the elevated EUV CAR model to a simulation of 22 nm pitch with 11  nm/11  nm L/S 1× (P22) at 0.55 NA, a defectivity count of about 2000 defects per 20,000 simulations (1:10) with no mask defect present resulted. This was deemed too large to extract a signal as stochastic wafer defects propagated during the study.

For the study of P22 at 0.55 NA, a defectivity ratio closer to 1:1000 was considered desirable to produce an observable signal as mask defectivity is modulated. A dedicated suppressed EUV CAR model was developed for the 0.55 NA case to produce a defectivity rate closer to 1:1000. The thermalization range, diffusion length, acid diffusion length, PAG density, and polymer radius were modified to achieve this value. Additional resist and developer parameters listed in Table 2 were modified to keep the simulated dose below 120  mJ/cm2 for the features under study. For reference, Table 2 also contains parameters approximating those used to model production EUV CAR resists used in 0.33 NA processes designed to image P28 features.

Table 2

Resist simulation parameters. The reference EUV CAR resist parameters are provided as a guide from a P28 capable positive tone develop CAR resist model.

Resist parameterElevated EUV CARSuppressed EUV CARReference EUV CAR
Thermalization range (nm) 1×422
Acid diffusion length (nm) 1×33.934.54.0
Quencher diffusion length (nm) 1×48.7958.0
PAG density (1/nm3) 1×0.310.3
Polymer radius (nm) 1×32.53.0
Inhibitor density (1/nm3) 1×443
Deprotection speed (1/s)3.460.040.1
Neutralization speed (1/s)9.550.57
Dill C (cm2/mJ)0.023880.050.04
Photo decomposable quencherNoYesYes
Quencher concentration (1/nm3) 1×0.09720.30.3
Inhibitor concentration [M]0.5070.650.6
Developer selectivity N251820
Thickness (nm) 1×301530
Underlayer thickness (nm) 1×755
Note: The reference EUV CAR parameters are rounded to the first significant digit. The parameters will produce modeling results that behave in a recognizable manner compared to on wafer. True numerical data for commercially available systems are sensitive proprietary information.

2.3.

Incorporation of Mask Defects

Two mask defect classes are examined in this study. An ML pit defect was selected to represent a local mask defect. Previous work2 demonstrated that ML-defects, opaque absorber defects, and particles have the same increased CD variability impact or even failure probability at the wafer corresponding location. A second defect class studies NLMDs, which relates to any mask deficiency that has a uniform or locally varying characteristic. Whereas a first study of NLMDs3 showed the influence of individual NLMDs on stochastic failure probability typically appears limited, except when they become extreme, it is hypothesized that a study of the combined impact of typical NLMD levels may reveal the need to tighten their specifications. This earlier work3 also concluded that NILS appears to be a predictor for the influence of individual NLMDs on stochastics of the printed image to a first approximation (both failure probability and CD variability), except for the roughness class of NLMDs.

In this study, this analysis is continued for two types of NLMDs: mask LER and mask ML mirror ripple, abbreviated to ML-ripple. In this work, ML-ripple is adopted as a surface variation of the mask substrate that is conformally mapped to the mask blank surface by the ML stack.

2.3.1.

Mask multilayer pit defect

Throughout this and previous studies,2 ML defects are treated as the result of a pit or a bump in the low thermal expansion material (LTEM) substrate. The substrate defect is assumed to propagate conformally through the full ML stack up to the ruthenium capping layer, as shown in Fig. 3. Conformally means the lateral size and depth (or height) of the defect remain constant throughout the ML. Both pit and bump type ML defects are possible, with variable depths (and heights). For this study, an ML pit-type defect with a fixed 2.52 nm 4× depth was selected, and only the lateral size was varied. The latter was done at the same time in the X and Y directions, producing a square defect shape (at 1×). In the first of two earlier publications,2 it was shown that despite varying the other parameters of an ML defect, a universal behavior was obtained as a function of the average local CD change due to the presence of the defect at its projected wafer position.

Fig. 3

Cross section of a 24-nm 4× lateral sized (FWHM) pit defect in the mask ML with a 128-nm 4× pitch absorber pattern on top. The studied pit defect is in the LTEM substrate and propagates conformally to the Ru cap.

JM3_20_2_021003_f003.png

2.3.2.

Mask absorber line-edge roughness

The mask absorber LER NLMD study was designed to investigate local absorber width variability impact on the printed wafer CD. In this case, a random LER component was added to the mask absorber edges, using the expression in Eq. (1), where σ is the standard deviation of the mask LER in nanometers, Lc is the correlation length in nanometers, and α is the unitless roughness exponent. The random number RAND(x) is generated from 0.5 to 0.5 to provide LER shift into and out of the line edge. LER is calculated at line edge position x:6

Eq. (1)

maskLER(x)=σ2RAND(x)e(xLc)2α.

In Fig. 4, a comparison of different mask LER settings is shown along with the simulation measurement locations that were projected from the mask to the wafer and a picture of a typical 64-nm line 64-nm space 4× mask absorber pattern. The high σ long Lc setting is extreme and not considered a reasonable mask absorber pattern. It is only included to illustrate the impact of the mask LER settings.

Fig. 4

Mask absorber LER and measurement locations and an SEM image of a 16-nm line 16-nm space 1× pattern. Mask LER parameters are applied at 4× from left to right as follows: (a) σ=1  nm, α=1, Lc=20  nm; (b) σ=2  nm, α=2, Lc=20  nm; (c) σ=4  nm, α=2, and Lc=80  nm. (d) SEM image on the right is for comparison (adopted from Ref. 7).

JM3_20_2_021003_f004.png

2.3.3.

Mask ML ripple nonlocal mask defect

ML ripple is the second roughness type NLMD addressed in this study. A representative ML roughness is considered around 50 pm 4×,8 but the impact of larger values was also studied. The simulations assume the ML deposition is conformal to the generated substrate surface roughness, analogous to the treatment of an ML-pit in Sec. 2.3.1 and as motivated in previous work.3 (Note: Commercial EUV mask blank manufacturing is recognized to include smoothing processes that attenuate propagation of ML-defects and ML-ripple to the top of the ML as individual layers are deposited on the LTEM substrate. However, these smoothing functions are proprietary and therefore not assumed nor included in this study.)

To emulate the ML ripple on the substrate, a random 1024 nm by 1024 nm 4× substrate was generated with a random ripple on a 16-nm 4× grid using Eq. (2) in MATLAB. In Eq. (2), Lc is the correlation length given by the user, rms is the root mean square surface ripple height of interest input by the user, g is the grid, Z is the output mask substrate normal offset, and r is the radial deviation from the position x,y:

Eq. (2)

Z(x,y)=2glcπrms*RAND(x,y)e2(r(x,y)2Lc2).

An example mask substrate ripple and a conformal ML deposition upon it are shown in Fig. 5. The mask ML ripple was generated using an rms of 100 pm 4× with a correlation length of 20 nm 4×, which produced a range of 740.4 pm 4× peak-to-valley variation of the substrate, that propagates through the ML.

Fig. 5

Mask substrate ripple and its impact on the deposited ML. In this study, the ML ripple is initiated by the LTEM substrate and propagates as a fixed value through the ML, up to the absorber top (in analogy to an ML-pit in Fig. 3). Note: The Z in the left substrate plot is not to scale compared the XY plane. Also, the left and right figures are not to the same scale.

JM3_20_2_021003_f005.png

2.3.4.

Combined mask LER and ML ripple

A combination of mask absorber LER and ML ripple was chosen as an example study of two simultaneous NLMDs. The mask LER and ML ripple components were modeled as described in Secs. 2.3.2 and 2.3.3, respectively.

2.4.

Simulation Methodology

2.4.1.

Local defects simulation methodology

The simulation uses Monte Carlo algorithms to generate stochastic effects in the optical and resist systems with pseudorandom numbers. The pseudorandom nature of the simulation allows the random seed to be set and rerun with the same results to study specific random events of interest. The randomized effects include secondary electron generation, photon distribution, PAG distribution and activation, quencher distribution and deactivation, inhibitor distribution, and post-exposure-bake kinetics.

All data presented in this study are for trenches in an equal L/S pattern analyzed. Line data were not collected in this study. A typical measurement configuration for the ML pit simulations is shown in Fig. 6.

Fig. 6

Mask pit defect wafer measurements (case of horizontal L/S pattern). Measurements (solid orange lines) were made directly at the projected mask pit defect location (dotted red square) and at other locations in the vicinity as reference.

JM3_20_2_021003_f006.png

Each structure was targeted to its desired feature size in a stochastic calibration. To achieve this, a dose was set that produced an average of the target CD ±0.1  nm, for all measurement points in a 20,000-point stochastic simulation with no mask defect present. This dose was fixed for all subsequent simulations of the pitch and process conditions.

Each data point presented in the L/S study is the result of 20,000 runs for the wafer measurement location at the projected mask defect. The other eight measurement locations in Fig. 6 were used for validation purposes. The graph in Fig. 7 plots the simulated trench CD for an example of 20,000 runs, by the input random number seed. It shows one fully bridged space in the vicinity of seed 18,000. The total simulations for a data point are NP which is normally 20,000 and is split into the number of good points, NG, and the number of failed points, NF, as described in Eq. (3). Equation (4) defines the criteria for a given simulated point being good where target is the space CD for the given pattern. NG is count of xG while NF is the count of xF. Only good CD values, xG, were used to calculate statistics per Eqs. (6) and (7):

Eq. (3)

NP=NG+NF,

Eq. (4)

xG{x:0.25×Targetx<2×Target},

Eq. (5)

xF{x:xxG},

Eq. (6)

x¯=1NGi=1NGxGi,

Eq. (7)

3σ=3*i=1NG(xGix¯)2NG.

Fig. 7

Representative output data plot with a target value of 16-nm 1× and one fully bridged point.

JM3_20_2_021003_f007.png

The choice of 20,000 simulations points was made as a balance between simulation time and statistical information. A 3σ event occurs 1:370 times while a 4σ event occurs 1:15,787 times. To ensure confidence in the frequency of an event, it should be sampled multiple times to validate its distribution so a rate of 54:19,980 events provides certainty of a 3σ failure event on the wafer due to a defect and an indication of the possibility of the failure rate reaching a 4σ range.

2.4.2.

NLMD simulation methodology

NLMDs such as mask LER and ML ripple vary across all locations on the mask. The mask absorber LER NMLD study was handled in the same fashion as the mask ML pit study. Here, all nine measurement locations were retained to calculate the CD variability and failure probability on wafer. The absorber LER was varied by an additional random seed not used in the ML pit study.

ML ripple required a different study methodology than used in the mask pit defect simulations. It was found to be a computationally intensive characteristic to vary, which makes its inclusion in a full factor stochastic analysis runtime prohibitive. To work around this computational issue, for each selected parameter combination (rms, Lc), a set of five random substrate roughness maps were generated. Each substrate contained 18 measurement locations and ran with 5000 stochastic set points. In a few cases, 10,000 stochastic wafer points were run for deeper understanding.

The 18 measurement locations were configured as shown in Fig. 8. Due to the random nature of the local substrate roughness, each measurement location is on a unique mask surface. Based on the random 1024  nm×1024  nm 4× surface in Sec. 2.3.4 (256 nm 1×), no two measurement locations in the metrology window are closer than 48 nm 4× vertically and all measurement locations are 64 nm 4× apart horizontally; therefore, the measurement locations remain uncorrelated below correlation lengths of 20 nm 4×. The study varied mask substrate surface root mean square variation (rms) and correlation length (Lc), as detailed in Eq. (2) in addition to using five random seeds for each (rms, Lc) combination.

Fig. 8

NLMD wafer measurement locations (shown in 3D-style top-view of resist image on wafer). The orange lines are measurement locations on the 16-nm 1× L/S pattern.

JM3_20_2_021003_f008.png

Due to the random nature of the ML-ripple, dose calibration did not produce a uniform CD across the measurement space. In this simulation, one of the five randomly generated substrates with the same rms and Lc was chosen as the targeting substrate. A dose was then found where the average of the 18 measurement locations was 16±0.5  nm. This dose was then applied to the other four randomly generated substrates in the group.

A data analysis based on the surface variation was developed and applied to understand the effect of ML ripple. For this case, the data were also filtered per Eqs. (4)–(7). However, the data were analyzed by measurement location. For each measurement location, an average printed CD was determined, and its variability around this average analyzed.

3.

Results and Discussion

3.1.

Mask Absorber LER at P32

As shown in Fig. 9, at σLER=2  nm 4×, the local CD variation of the mask mimics the SEM top-view image shown in Fig. 4. CD variability and stochastic failure probability are shown to increase faster with σLER than NILS decreases with it. This is interpreted as a consequence of the local mask CD variation, so that depending on the measurement location (as shown in Fig. 4), the corresponding mask CD is off target. Note that this is unlike the other, nonroughness type, NLMDs as discussed in earlier work.3 Those were treated as a uniform deviation from a reference. As NILS is determined at target CD, it does not show the fast change seen for CD variability there. However, here for mask LER, the dose was rather optimized for each σLER to obtain the target CD of 16 nm 1× on average across all metrology locations. Hence, the local variation (for mask CD) is not dose compensated for each location. Therefore, the locations with deviating mask CD print off target.

Fig. 9

CD variability and failure probability for P32 as a function of mask LER (mid). Therein, (a) color-marked σLER values are visualized for (α,Lc) = (0.5, 10 nm at 4×). (b) NILS and relative dose as a function of σLER.

JM3_20_2_021003_f009.png

As seen in Fig. 9, despite failure probability (and CD variability) increasing faster with mask LER than NILS decreases, the influence of typical mask absorber LER (up to σLER=2  nm 4X) is limited. This could also be due to the selected (fixed) value for of Lc.

3.2.

Mask ML Ripple for P32

Mask ML ripple simulation analysis was complicated by the random mask ML at each measurement location due to the substrate variation, but a workable methodology has been identified, as discussed in Sec. 2.4.2.

As shown in Fig. 10, CD variability increases with rms value, much faster than expected from the observed NILS decrease, similar to mask LER in Sec. 3.1. A local ML distortion causes a local variation in EUV reflectivity (likely among other effects such as a phase change), so that optimal dose targeting can only assure printing on target locally. Consequently, the applied average dose-to-size is not optimum for every individual location. Hence, several locations on wafer have deviating printed CDs, giving rise to increased CD variability across measurement locations. This is similar to mask absorber LER, where the difference in local mask CD is the cause for the wafer CD variation between measurement sites.3

Fig. 10

Root mean square mask ML ripple impact wafer properties for P32 lines and spaces (at Lc=20  nm 4×).

JM3_20_2_021003_f010.png

Failure probability is not included in the same figure as CD variability for this case. The number of failures varies across measurement sites and is therefore represented in a different way (Fig. 11).

Fig. 11

Root mean square mask ML ripple impact on P32 printed CD with an Lc=20  nm 4×. The CD distribution further impacts stochastic failure probability (pink) and 3σ CD variation (green). Each data point represents the average of stochastically generated CDs at one measurement location. The 16-nm target CD is confirmed as the average of all measurement locations. The blue and red arrows indicate the minimum and maximum CD obtained across all measurement locations and mark the increased spread in average CD. This increasing CD spread is apparent as rms increases from 0 to 400 pm (resp. left and right graphs).

JM3_20_2_021003_f011.png

When plotting CD variability and failure probability as a function of average printed CD per measurement location, the increased spread of the obtained average CD per measurement location (on the x-axis in the graphs of Fig. 11) as a function of increasing rms roughness is apparent. The point-to-point ML-ripple variation for each mask location causes an increase of the local wafer CD variation. Failure probability is a strong function of the local CD and therefore shows a growing spread as the rms value increases: Too small space CD causes much more line bridging, whereas a relatively larger space CD is more tolerant for line bridging (or it is more difficult to fully pinch the space). The influence of an assumed typical ML-ripple (of 50 pm at 4×) on failure probability can be noted to vary across one order of magnitude, depending on the location. [Note: The adopted approach in this study is restricted to line bridging failure types (space pinching), as a logical extension from the local defects that produce them. For roughness type NLMDs, such as ML-ripple, the space can also become locally very wide. This may lead to line breakage failures, which were disregarded here. Line breakage studies will be included in future studies. See Sec. 5.]

Figure 12 shows the impact of Lc, variation for a fixed rms=50  pm 4×, corresponding to the central panel in Fig. 11. Larger values of Lc cause more abrupt widening of the CD range and an increase in failure probability variation. High-frequency ripples generated from small Lc values do not propagate through the band limited projection optics, unlike lower frequency ones. Such is understood from the pupilgrams, where increasing background intensity can be noted as Lc increases.

Fig. 12

Correlation length mask blank ML ripple impact on P32-printed wafer CD with rms fixed at 50 pm 4×. The widened CD distribution across measurement locations (as explained in Fig. 11) also further impacts stochastic failure probability (pink) and 3 sigma CD variation (green). The second column repeats the central case in Fig. 11. The corresponding ML-substrate, pupilgram, and object spectrum for the dipole source are located below each plot. The pupilgram and object spectrum intensity scales are compressed to emphasize the increasing background intensity caused by the ML ripple (and hence their contribution to the image formation), as the correlation length is increased from left to right. The top row pupilgrams show the overlapping zeroth and first diffraction orders of the L/S pattern. The bottom row shows the system’s response to a point source. This response visualizes the discrete diffraction orders added in the pupil that originate from the ML ripple itself. Points inside the red circle indicate diffraction patterns that pass through the projection optics.

JM3_20_2_021003_f012.png

The combination of these two types of moderate NLMD roughnesses causes a widened spread in obtained CD and failure probability as shown in Fig. 13. For combined NLMDs, the latter varies over three orders of magnitude, despite the reference (without mask LER) demonstrating a moderate spread of CD variability and failure probability.

Fig. 13

Impact of combined ML ripple and LER on mask onto printed P32 wafer CD. The CD distribution also further impacts stochastic failure probability and 3 sigma CD variation. Done for rms=50  pm 4× and Lc=20  nm 4×, combined with σ=2  nm 4×, α=0.5, and Lc,LER=40  nm.

JM3_20_2_021003_f013.png

3.3.

Study of Mask ML Pit Defect, Extended Toward Smaller Pitch and 0.55 NA

The mask pit defect output was studied using failure probability and CD 3 sigma variations. The most informative metric to analyze these parameters was identified as the CD deviation due the defect (ΔCDdef). The ΔCDdef is the average variation from target CD on the wafer for good points as described by Eq. (8). The only factor influencing wafer CD was the mask ML pit defect. The CDtarg value is the nominal trench space that the optimized exposure dose of the simulation was attempting to achieve on average:

Eq. (8)

ΔCDdef=1NGi=1NGCDtargxGiCDtarg,

Eq. (9)

Failure rate=NFNP.

Figure 14 (adopted from the appendix in Ref. 3) plots the wafer CD response to an ML pit defect with varying lateral size, for masks with three different absorber materials. The TaBN-based absorber stack is the present baseline. The Ni stack has a similar index of refraction (n) to TaBN but more than double the extinction coefficient (k), making the absorbed EUV light similar despite the different absorber thicknesses. The RuRe is a low n and low k absorber material compared to TaBN which allows more EUV light through with its lower k but will also provide a degree of phase shifting.9,10 Despite these absorber differences, the obtained defectivity behavior for all three absorber materials followed the same failure probability and CD standard deviation curves as a function of ΔCDdef. This further confirms the universal behavior:2 for a given case of illumination conditions, pattern pitch, and resist model, the failure probability and local CD variability caused by a local mask defect are a function of its ΔCDdef.

Fig. 14

32-nm 1× L/S at 0.33 NA. Old data with dipole illumination and elevated EUV resist model. Three mask absorbers are investigated.

JM3_20_2_021003_f014.png

In Fig. 15, data from Fig. 14 are replotted for P32 1× L/S, with the new study leaf illumination results included in addition. The differences in the new P32 data and the old P32 are the illumination condition and an unintended change in mask absorber thickness. The new P32 data set adds horizontal simulations, beyond the vertical ones. Also, except for the 0 failure probability condition, Ref. 2 considers why the error flag is relatively large for small values of ΔCDdef, the horizontal and vertical simulations appear to be on the same curve.

Fig. 15

32-nm 1× L/S at 0.33 NA. Old and new data with dipole and leaf illumination, respectively, using elevated EUV resist model. The three data sets from Fig. 14 are collapsed into the green points in these graphs. Note that the green data points are repeating those in Fig. 14 for reference.

JM3_20_2_021003_f015.png

When going from P32 to P28, equally using the leaf illumination configuration, the horizontal and vertical data are also found to fall on the same curve (as shown in Fig. 16). Compared to P32 (Fig. 15), the curves for P28 are slightly shifted, corresponding to the pitch dependency shown in earlier work.3

Fig. 16

28-nm 1× L/S wafer pattern at 0.33 NA with leaf illumination and elevated EUV resist model.

JM3_20_2_021003_f016.png

In the results shown for 0.33 NA, the behavior is similar for horizontal and vertical orientations, despite the difference in shadowing effects as described in Sec. 2.1.

The plots in Fig. 17 use 0.55 NA illumination conditions with anamorphic imaging and the suppressed EUV photoresist model. In this case, the horizontal and vertical lines demonstrate clearly different curves for failure probability. The vertical orientation reaches failure probability of 0 (100% failure) at a ΔCDdef at least 10% smaller than the horizontal orientation. This is considered due to anamorphic imaging. In addition, both orientations reach a failure probability of 0 (100%) at a ΔCDdef value more than 10% below those found in the 0.33 NA based figures. The CD 3 sigma response is also noteworthy as it remains nearly constant with respect to ΔCDdef, and even similar for both horizontal and vertical orientations. Both CD 3 sigma effects are considered potentially due to the use of the suppressed EUV photoresist model.

Fig. 17

22-nm 1× L/S wafer pattern at 0.55 NA anamorphic conditions with leaf illumination, suppressed EUV photoresist.

JM3_20_2_021003_f017.png

4.

Conclusions

Two prior publications2,3 showed mask defectivity contribution to stochastic failures on the printed wafer when coupled with shot noise, random walk of the induced secondary electrons, and chemical variations in the applied resist. Both local mask defects2 and NLMD type mask deficiencies3 have such contributions.

A first part of the present work is a continuation of the study of ML-ripple and its combination with mask absorber LER, based on the experimental settings of previous studies. A second part extends the study of the relationship between mask defectivity and wafer defectivity to more state-of-the-art illumination conditions and in preparation for high-NA. This part focused on localized ML pit defects.

Both previous publications2,3 for P32 at 0.33NA, using the elevated resist model, led to the finding of a universal curve failure probability and wafer CD 3 sigma versus ΔCDdef for each individual pitch, independent of how the ΔCDdef value was achieved. This was for a given set of conditions, including resist model and illumination. The present study confirms the expectation that illumination impacts these two curves.

During this effort, the resist model used for the 0.33 NA illumination system was found inadequate for the 0.55 NA illumination system. The first resist model used for 0.33 NA (elevated EUV CAR model) was deliberately set to produce more stochastic defects than normally encountered on a wafer to aid the observation of stochastic defects in a small region. However, even when these increased defect measures were removed from the elevated EUV model, the 0.55 NA system produced a defectivity rate on the order of 1:10 to 1:100 with no mask defect present. To bring the defectivity rate to a value where a signal could be reasonably studied, such as a rate of 1:500 defects or less with no mask defect present, the distributed chemical component noise was reduced by increasing PAG concentration over 3× to 1 PAG per cubic nanometer 1×. Whereas such a change is readily incorporated in simulation, the reader is alerted that it may have a significant impact on a physical resist system, as it could change the relative proportion of the resist components dramatically, which can initiate other unwanted effects. The important understanding from this work is that the resist defectivity rate changed significantly between the presented comparison for the two scanner systems. This should be further investigated.

Unlike in the P32 and P28 0.33NA cases, the P22 0.55NA horizontal and vertical failure probability curves are no longer overlapping. The P22 horizontal case shows a lower failure probability trend than the P22 vertical case. This horizontal to vertical difference at P22 is hypothesized to be the result of anamorphic magnification, as horizontal lines and spaces experience an advantage of 8× magnification in the CD direction, compared to the vertical counterpart that images at 4× in the CD direction.

The same trend may extend to P22 printed CD 3 sigma versus ΔCDdef, but the data are not as clear, because they are dominated by another finding: The printed CD 3 sigma versus ΔCDdef exhibits a minimal variation as a function of ΔCDdef. This is different than P32 and P28 using 0.33 NA illumination, where CD 3 sigma increases with ΔCDdef. This difference is not yet understood. There are only two differences in the P32 and P28 systems versus the P22 system, i.e., the numerical aperture and the photoresist. Further study is needed to understand which, if either, of these differences explain the trend.

5.

Outlook

This study will expand from one-dimensional (1D) structures (lines and spaces) to more production style EUV single exposure two-dimensional (2D) patterns in the next investigation stage. The subject 2D patterns contain tip to tip features as shown in Fig. 18. An initial finding in a later publication11 notes that high NA horizontal to vertical split defect trends in 2D features diverge similarly to the 1D features in this study. This divergence will be further investigated as an impact of the anamorphic system.

Fig. 18

Drawn, corrected, and simulated 2D study array. The pattern is drawn at 22 nm pitch 1× with an 18-nm 1× tip to tip space. Measurements are taken at the orange lines in the simulation pattern.

JM3_20_2_021003_f018.png

The horizontal and vertical 2D feature study will include investigations to better comprehend the photoresist variations observed between the elevated 0.33 NA CAR model and the suppressed 0.55 NA CAR model. These investigations will attempt to understand the components of the high NA EUV model that increase defectivity in the elevated CAR model. From this information, a determination can be made if the resist model, optical model, or the physical system is the root of the observed behavior.

The studies to date have worked with the line bridging cliff,1 featuring (full) trench pinching. However, the investigations of roughness-type NLMDs demonstrate that trenches growing locally too wide can equally cause line pinching or even line breaks. Therefore, future studies will include investigation of the line break cliff in addition to the line bridging cliff only.

6.

Appendix A: Optical Constants Used for Simulation

The index of refraction and coefficient of extinction for the materials stimulated in this projected are listed in Table 3.

Table 3

Optical properties at 13.5 nm wavelength used in this study.

Materialnk
TaBON0.9520.026
TaBN0.9500.031
Mo0.921080.00622
Si0.999320.00183
MoSi20.96930.00433
Ru0.8863580.0170689
Ni0.9482240.072722
ReRu0.90070.0285
Underlayer0.9740.00612

Acknowledgments

The authors would like to thank Ulrich Klostermann, Ulrich Welling, and Zachary Levinson of Synopsys, and Eric Hendrickx of IMEC for their support and fruitful discussions.

References

1. 

P. De Bisschop, “Stochastic printing failures in extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS, MOEMS, 17 (4), 041011 (2018). https://doi.org/10.1117/1.JMM.17.4.041011 Google Scholar

2. 

R. Jonckheere, III L. S. Melvin and R. Capelli, “Stochastic printing behavior of ML-defects on EUV mask,” Proc. SPIE, 11147 111470P (2019). https://doi.org/10.1117/12.2538153 PSISDG 0277-786X Google Scholar

3. 

R. Jonckheere and III L. S. Melvin, “Stochastic printing behavior of non-local mask deficiencies in EUV lithography,” Proc. SPIE, 11517 1151710 (2020). https://doi.org/10.1117/12.2572998 PSISDG 0277-786X Google Scholar

4. 

V. Philipsen et al., “Actinic characterization and modeling of the EUV mask stack,” Proc. SPIE, 8886 88860B (2013). https://doi.org/10.1117/12.2030663 PSISDG 0277-786X Google Scholar

5. 

A. Pirati et al., “Performance overview and outlook of EUV lithography systems,” Proc. SPIE, 9422 94221P (2015). https://doi.org/10.1117/12.2085912 PSISDG 0277-786X Google Scholar

6. 

F. Elsholz et al., “Roughness evolution in thin-film growth of SiO2 and Nb2O5,” J. Appl. Phys., 98 103516 (2005). https://doi.org/10.1063/1.2130521 JAPIAU 0021-8979 Google Scholar

7. 

H. H. Kamberian, “Mask characterization studies of new alternative thin EUV absorber (Conference Presentation),” Proc. SPIE, 11323 1132313 (2020). https://doi.org/10.1117/12.2554721 PSISDG 0277-786X Google Scholar

8. 

P. Naulleau, “Extreme ultraviolet mask roughness effects in high numerical aperture lithography,” Appl. Opt., 57 (7), 1724 –1730 (2018). https://doi.org/10.1364/AO.57.001724 Google Scholar

9. 

V. Philipsen et al., “Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers,” J. Micro/Nanolithogr. MEMS, MOEMS, 16 (4), 041002 (2017). https://doi.org/10.1117/1.JMM.16.4.041002 Google Scholar

10. 

A. Erdmann et al., “Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?,” J. Micro/Nanolithogr. MEMS, MOEMS, 18 (1), 011005 (2018). https://doi.org/10.1117/1.JMM.18.1.011005 Google Scholar

11. 

III L. S. Melvin, R. Jonckheere and E. Hendrickx, “Two-dimensional feature stochastic printing with mask deficiencies in high-NA EUV,” Proc. SPIE, 11609 116091S (2021). https://doi.org/10.1117/12.2584775 PSISDG 0277-786X Google Scholar

Biography

Lawrence S. Melvin III is a technical program manager at Synopsys in the Silicon Engineering Group. He has focused in areas of photolithography modeling, EUV, stochastics, application development, and RET technologies. His current focus includes modeling stochastics in EUV systems and investigating applications to mitigate stochastic defectivity. He has collaborated to investigate the impact of EUV mask defectivity on wafer pattern stochastics since 2019.

Rik Jonckheere is a senior researcher mask technology in the Advanced Patterning Process and Materials Department at IMEC. Since 1985, when he joined IMEC, he has been mainly active in the fields of e-beam lithography and mask making. He has been working on EUV reticle projects in IMEC’s Advanced Lithography Program since 2005. His focus is on EUV mask defectivity, its printability, and its mitigation. Since 2019, his study includes the mask contribution to stochastics of EUV-based imaging on wafer.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Lawrence S. Melvin and Rik Jonckheere "Contribution of mask defectivity in stochastics of EUVL-based wafer printing," Journal of Micro/Nanopatterning, Materials, and Metrology 20(2), 021003 (8 May 2021). https://doi.org/10.1117/1.JMM.20.2.021003
Received: 10 March 2021; Accepted: 19 April 2021; Published: 8 May 2021
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Photomasks

Semiconducting wafers

Critical dimension metrology

Stochastic processes

Line edge roughness

Failure analysis

Extreme ultraviolet

RELATED CONTENT

Stochastic printing behavior of ML-defects on EUV mask
Proceedings of SPIE (October 24 2019)
Stochastic model prediction of pattern-failure
Proceedings of SPIE (March 20 2020)
EUV OPC for the 20-nm node and beyond
Proceedings of SPIE (March 23 2012)
Full field EUV lithography lessons learned on EUV ADT...
Proceedings of SPIE (December 04 2008)

Back to Top