Open Access
8 March 2022 Source performance metrics for EUV mask inspection
Larissa Juschkin, Daniel C. Wack
Author Affiliations +
Abstract

Rules are derived to obtain specifications on radiance, power, lifetime, and cleanliness of the source for an actinic patterned mask inspection system. We focus on the physical processes and technological aspects governing the requirements of radiation sources for reticle inspection. We discuss differences and similarities to scanner with respect to magnification, system etendue, and image recording. Source radiance requirements are estimated from a perspective of targeted throughput and defect detection sensitivity. The derivations consider the influence of photon shot noise on signal detection and conservation laws of light etendue and radiant flux. We describe the scaling laws for required radiance with targeted sensitivity index, optical contrast, field size, and system throughput. In addition, we address the limits on the required brightness and minimum repetition rate set by mask damage threshold. Finally, system and source cleanliness requirements and criticality of the source availability and lifetime are discussed. The analysis can be applied to other microscopy-based metrology and inspection applications.

1.

Introduction

At extreme ultraviolet (EUV) wavelengths, diffraction limited optical imaging (printing) with a lateral half-pitch resolution in the single-digit nanometer range is achievable with state-of-the-art reflective optics.1,2 The inspection of mask blanks and patterned masks (reticles) for EUV lithography at 13.5 nm requires the ability to scan large surfaces for the presence of small but printable defects as rapidly as possible.3 KLA is a global supplier of process control solutions for semiconductor manufacturing with more than 40 years of semiconductor process control experience. The first mask inspector was developed in 1978, and currently the RAPID division provides reticle inspection solutions for both mask shops and fabs. These solutions help ensure that lithography yields are consistently high, enabling cost-effective chip manufacturing. The ultimate goal is to find all critical defects on masks before these are printed in hundreds of thousands of chips. Another important feature is the ability of automated comparison of a photomask pattern with its database—designed pattern—to ensure the geometry is correct and errors can be avoided. Today, the relevance of both aspects only increases in importance.

Multiple inspections of a photomask occur through its lifecycle (see Fig. 1). In particular, mask substrates are inspected at the blank supplier. In the mask shop, patterned masks are inspected multiple times during fabrication, and during photolithography exposures in the wafer fab, masks are inspected periodically to ensure that the mask remains defect free. This is specifically critical for masks used in EUV lithography, for which these inspections are currently being performed at 193 nm wavelength in production. Two different illumination/imaging modes combined with advanced database modeling are used to enhance contrast and defect sensitivity.4 These tools support mask development and production down to 7 nm node.

Fig. 1

EUV mask inspection flow: inspection is critical from blank supply through wafer fabrication.

JM3_21_2_021204_f001.png

However, as the structures shrink, despite being 4× larger at mask, one approaches limits of what is feasible with optical wavelengths. In defect inspection, similar as in microscopy, there is a triangle of trade-offs, and compromises are inevitable in defect inspection with respect to the throughput, resolution, and sensitivity. In the following, the requirements on source radiance are derived based on the desired throughput, optical resolution, and defect sensitivity, for the specific case of an actinic EUV mask inspector using basic illumination and imaging modes, and a single, simple defect type. Consideration of alternative and optimal illuminator and imaging modes is important, as works on optimizing EUV mask defect detection for specific defect types (e.g., phase defects) have shown.57 As our focus is on deriving source requirements as part of system design, for brevity we simplify the discussion by considering small, opaque defects under partially coherent illumination in a scanning imaging system. Thus, conclusions on optimal system designs to maximize actinic inspection performance and productivity, and the relative merits of actinic and electron beam inspection methods, will have to be discussed in subsequent work.

The structure of this paper is the following. After an introduction of the application of the patterned mask inspection and its image capture methodology, the scaling laws of required source brightness are derived. Then the minimum required source power is estimated for this application, and limits set by the mask damage threshold are reviewed. The final part underlines the requirements on source cleanliness and availability.

2.

Scanner versus Mask Inspector

For such an EUV inspector, its optical architecture is going to look in many ways similar to that of an EUV scanner. There is a source-collector module, illuminator, mask, imaging optics, and detector (see Fig. 2 and Table 1). All optics, including mask itself, are reflective, mainly multilayer-based. The main difference will be in the imaging process. Instead of demagnifying mask structures onto a wafer, one projects a few hundred times magnified mask image onto a CCD-type detector. Because of such a large magnification, the field of view (FOV) and correspondingly the etendue (see Sec. 4) of an inspector are much smaller than that of a scanner. The recording medium is stationary, but photogenerated charge is transferred through the pixels synchronous with the mask motion. The dose control needs to ensure that all pixels are illuminated with a minimum number of photons and provide reference.

Fig. 2

Mask inspector: typical mask scan and image capture. Mask is moved across FOV during the image capture: photoinduced charge is shifted to the adjacent pixel columns synchronous with the motion of the image across the array of elements.

JM3_21_2_021204_f002.png

Table 1

Differences and similarities between EUV scanner and mask inspector.

ParameterScannerInspector
SourceHigh powerHigh brightness
IlluminatorLarge etendueSmall etendue
MaskMovingMoving
Imaging opticsDemagnifying (1/4×) large FOVMagnifying (500× to 1000×) small FOV
Wafer/detectorMovingStatic, charge transfer
Dose controlPulse count modulationReference correction

3.

Image Capture in Defect Inspection

CCD-type detector is used in time delay integration (TDI) mode, which is well suited for low-light applications and imaging of moving objects.8 The charge transfer through the detector pixels is synchronized with the object’s image motion on detector such that every image pixel at mask, while moving through the illumination spot, contributes to the same bucket of photoinduced charge in the detector (see Fig. 3). Image moves on CCD at speed v; CCD line transfer is synchronized with transfer frequency f:

Eq. (1)

v=f·pd,
where pd is the detector pixel size. To increase the image acquisition rate, multiple readout channels/ports are used, which enable low-overhead imaging of high-speed objects.9

Fig. 3

Signal integration by TDI operation: integrating exposure of a moving object (inspired by technical documents available on Hamamatsu website8).

JM3_21_2_021204_f003.png

For a system with uniform illumination of the object field, the time for image capture t of mask inspection area Amask (without overhead, i.e., after accounting for retrace (see Fig. 3), and ignoring partial image swaths at object edges), is determined by the pixel charge integration time tpix and detector pixel-array size:

Eq. (2)

tpix=t·#pixdet#pixmask=t·N2·p2Amask,
where N is detector pixel number in one direction, assuming a square detector, p=pd/M is pixel size at mask, M is magnification of imaging system, #pixmask is total number of inspection pixels at mask, and #pixdet=N2 is the number of detector pixels.

To minimize aliasing contributions to noise and ensure efficient use of the detector area, so-called critical sampling is usually applied, where pixel size at mask corresponds to half of the diffraction-limited resolution of the imaging system. This results in the point spread function sampling rate of 4.88:

Eq. (3)

p=λ4·NAim,aPSF=1.22·λNAim,aPSFp=4.88,
where λ is the wavelength of light, NAim is numerical aperture of imaging optics, and aPSF is diameter of the diffraction-limited point spread function (Airy disk).

The following equation summarizes different relations to calculate mask speed vmask:

Eq. (4)

vmask=p·Ntpix=Amaskt·p·N=vM=f·pdM=f·p.

4.

Radiance, Etendue, and Resolution

To obtain the required source in-band radiance for EUV mask inspection, the same approach is pursued as in previous works on high-resolution imaging.10,11 (In the following, all light metrics refer to in-band quantities, with roughly 2% spectral bandwidth determined by the integration of the product of source spectral distribution and the system spectral transmission function, largely driven by spectral reflectivity distribution for Mo/Si multilayer coatings.) As EUV radiation is strongly absorbed by all materials, two simplifications are applied throughout the following considerations: First, the entire optical system is assumed to be in vacuum, and hence the refractive index of propagation medium is 1 and omitted in the formulas. Second, the effect of nonzero angle of incidence at mask is neglected. In reflection geometry, off-axis illumination is often required to separate incoming and outgoing light cones due to the lack of efficient beam splitters in EUV. However, the limited angular bandwidth of multilayer Bragg mirrors results in center ray angle of incidence at mask usually being smaller than 9 deg. For such illumination beams, the difference between the cross sectional and the actual illuminated areas is on the order of 1% or smaller which justifies the above approximation.

The radiance L (also often called brightness) is the primary source characteristic which is, at best, conserved in an optical system. It is determined by radiant (photon) flux (or source power) divided by etendue. Etendue element G is the phase space volume of a beam, given by a product of its cross section (area element projected in the direction of light propagation) and the solid angle subtended by the light beam (see Fig. 4 for definition of differential angle and area elements)10:

Eq. (5)

G=AΩdAcosθ·dΩ=π·NA2·A,
where A is an area element in the FOV, and NA=sinα is the numerical aperture determined by the half-opening angle α to the next optical element. Etendue is conserved as light travels through free space and optical systems with perfect reflections or refractions which allows us to trace photons within each etendue element. The considered surface elements—e.g., pixels—can be traced individually and independently through the imaging optical system between the detector and the sample. In the following, we will consider the etendue element determined by the resolved area at sample Ato resolve and solid angle of illumination Ωillumination (see Fig. 5).

Fig. 4

Variables used in defining etendue and radiance: two differential surface elements, Σ (source) and S (receiver), facing each other (adopted with permission from Ref. 10).

JM3_21_2_021204_f004.png

Fig. 5

Etendue elements at sample.

JM3_21_2_021204_f005.png

Photon flux is given by the number of photons nph hitting this area at sample divided by the pixel charge integration time tpix. We must account for the photon energy Eph to get power and divide it by the etendue to get the required brightness Lsample at sample:

Eq. (6)

Lsample=nph·Eph/tpixΩillumination·Ato resolve.
The radiance at sample can now be traced back to the source to obtain the source radiance Lsource after accounting for the losses in the system and wavelength dependency of photon energy (Eph=hc/λ with h being Planck’s constant and c speed of light):

Eq. (7)

Lsource=nph·hc/λTsys·tpix·Ωillumination·Ato resolve,
where all absorption losses are combined together and represented by the system transmission Tsys, including losses in illuminator (represented by transmission Till), imaging optics (Tim), mask itself (with pellicle, Tmask), and detector (quantum efficiency, QE):

Eq. (8)

Tsys=Till·Tmask·Tim·QE.

To calculate the minimum resolved area element, assuming diffraction limited system with partially coherent illumination, one must account for both imaging and illumination NA, based on Abbe’s criterion.12,13 The ratio of sample-side numerical apertures of illumination (NAill) and imaging (NAim) systems is usually denoted as σ. In the product of the resolved (square) area element and illumination solid angle (defining the considered etendue element), the NA is therefore cancelled, and only σ is left as optical parameter:

Eq. (9)

Ato resolve=(λNAim+NAill)2,σ=NAillNAim,

Eq. (10)

Ωillumination=π·NAill2=π·σ2·NAim2,

Eq. (11)

Ωillumination·Ato resolve=π·λ2·σ2(1+σ)2.
Combining all together, with the image capture time derived in previous Sec. 3, we get the following dependency for the required radiance:

Eq. (12)

Lsource=hcπλ3·nph·AmaskTsys·t·N2·p2·(1+σ)2σ2.
Now, we calculate how many photons are needed to hit the resolution area.

5.

Contrast and Sensitivity Index

Let us consider two small neighboring area elements, one containing a distinct feature and another one representing its surrounding (background) (see Fig. 6). When using light to obtain an image, differentiating the feature from the background means detecting a difference in the photon numbers (signals) from the two area elements. We know that counting photons follows Poisson statistics. It means, e.g., if we consider 2500 photons detected from a transparent background “pixel,” if repeated the detector response is not going to always be 2500 but will follow the probability distribution with the spread δph given by the square root of the number of photons δph=nph (see Fig. 7). The signal from the neighboring pixel, of 90% transmission in this example, will also follow the Poisson statistics. To be sure that the detector response corresponds to the physical feature, the separation (difference) of signal from background must be large enough compared to the statistical spread. The Rose criterion in microscopy states that signal difference to noise ratio must be larger than 5 for 100% certainty in distinguishing image features. If we consider 5δ separation as in this example, one can see that 98% of the area lies within ±2.5δ, which explains Rose criterion obtained experimentally.14

Fig. 6

Light signals captured by imaging optics from different illuminated structures at sample. For absorbing features on more transparent background, signals from features are smaller.

JM3_21_2_021204_f006.png

Fig. 7

Response probabilities of occurrence for two photon signals on an ideal detector: the right black solid line corresponds to the background illumination, the left red dash-dot line to an object feature of 10% absorption. The illumination level of 2500 photons is adjusted to just satisfy the requirement on separation to noise ratio of d5 for 100% certainty in distinguishing between both signals (adopted with permission from Ref. 10).

JM3_21_2_021204_f007.png

In microscopy, contrast is defined as a ratio of difference between two signals to their sum and is used as a measure of image quality. In many cases, it can be approximated as a ratio of signal difference to signal maximum. Signal (photon) noise is given by the square root of sum of two signals or approximately the square root of signal maximum because of the summation in quadrature. The consideration of these relations and Rose criterion allows one to derive the minimum number of photons for background illumination in microscopy.10,11

For the mask inspection application, this consideration needs to be modified slightly as we are not comparing the signals from the two neighboring pixels but the signals from the same pixel in two different images. One image is obtained experimentally and may contain a defect, another image is a reference, without defect, either from an experiment, or from modeling (see Fig. 8).15,16 The image itself is pattern-dependent, therefore, the convention for normalization is to use a signal from blank mask multilayer, which results in the following definition of contrast:

Eq. (13)

C=nwith defectnwithout defectnblank multilayer=Δnn.
Here n denotes the number of photons detected from a resolution area element Ato resolve on a blank mask multilayer, and Δn is the difference in photon numbers from area elements of the same size on a patterned mask with a defect and a defect-free reference pattern. That is, we are considering two signals, one from a (background) pattern and another one from a pattern with a defect and relate them to the blank multilayer signal. The probability distribution gets slightly modified: after image subtraction, one can get also negative signal and noise (see Fig. 9). In addition to that, signal spread δ may be also different as there are other sources of noise on top of the photon signal (shot) noise. To account for that, let us introduce a noise overhead ε with respect to shot noise:

Eq. (14)

δ=(1+ε)·δph(1+ε)·n.
Usually, a measurement system is optimized to be signal noise dominated, i.e., ε can be considered small.

Fig. 8

Schematic diagram of defect signal capture in patterned mask inspection (inspired by Refs. 15 and 16).

JM3_21_2_021204_f008.png

Fig. 9

Probability distribution of defect and noise signals in patterned mask inspection.

JM3_21_2_021204_f009.png

The area under the noise distribution protruding into the signal corresponds to potential false defect detection and that of a signal within the noise range to potential missed defects. So, to discriminate defect signals from false events, one selects a threshold based on the targeted defect capture rate and balanced against false event rate (see Fig. 9).

With all the information above, we can now answer the question of how many photons are needed to be detected from a blank multilayer pixel to ensure the targeted defect capture rate. The ratio of separation to spread is called sensitivity index d,10 and it needs to be larger than a selected threshold TNR:

Eq. (15)

d=Δnδ=C·n(1+ε)·nTNR,
where TNR is the threshold-to-noise ratio, as opposite to SNR, signal-to-noise ratio, which is a characteristic of a (difference) image. TNR is a number determining the smallest usable value of SNR. Combined altogether, one gets the following requirement on the photon number:

Eq. (16)

n((1+ε)·TNRC)2TNR2C2.

Now the next question is how to estimate the contrast. When optimizing system performance, defect sizes of interest are subresolution; depending on the analysis goals, to estimate image contrast with adequate accuracy, a rigorous diffraction calculation may be necessary. In the preliminary stage of system analysis and the simplest case of an absorbing defect, one can roughly estimate the contrast based on the transmission of the area covered by the defect and that of the resolved area, i.e., it is proportional to the ratio of the defect area to the resolved area:

Eq. (17)

C(TdefectTpattern)·AdefectTmask blank·Ato resolve.
For a small (absorbing) defect on a multilayer, it is just the ratio of areas:

Eq. (18)

CAdefectAto resolve.
We are considering a case of subresolution detection here, i.e., with defect area being smaller than the resolved area.

In the general case, including scattering defects, the contrast is defect and system-dependent and is determined by the corresponding scattering cross sections of pattern structures on mask, of pattern structures with a defect and of blank multilayer, and objective NA (solid angle). It is further influenced by illumination conditions. In Fig. 10, one can see a typical bright field microcopy path, with imaging NA larger than illumination NA to increase relative weight of scattered light. In dark-field microscopy, only scattered light is collected. In phase contrast microscopy, the directly transmitted light is modified to increase contrast. All these techniques may be applied to optimize a metrology/inspection system for higher contrast (signal) during defect detection. We refer to the study performed at UC Berkeley to explore all these options and the expected SNR in an inspection system as a function of different parameters.1517 As an example, the influence of multilayer scatter noise needs to be considered as well, i.e., the best focus is defined by the minimum speckle contrast from mask roughness. Pixel size, defocus, σ, and photon count per pixel will all influence the resulting SNR.

Fig. 10

Image capture and contrast enhancing techniques in microscopy.

JM3_21_2_021204_f010.png

6.

Defect Printability and Critical Defects

To get an estimate of the required number of photons, let us now consider the goal of mask inspection. One needs to find those defects which will print during lithography process. In lithography, the defect impact is measured by the error in structure size it introduces, its CD change (CD: critical dimension and ΔCD: CD error). The specification on minimum photon flux is therefore governed by defects which are most challenging to detect. Performed studies, as the one by Kamo et al.,18 indicate that ΔCD/CD scales roughly proportional to the defect area (see Fig. 11). Recognizing that the inspection goal is to detect all defects at a given value of printing error (e.g., 10% ΔCD/CD), one can see that the most challenging defects (i.e., the same change in CD is coming from a smaller defect) are of protrusion type: an absorber extended to the multilayer region. For protrusion defects, we can use the scaling from Fig. 11 and get that ΔCD/CD is given by the squared ratio of defect size to the half pitch at mask hp (assuming that pattern is resolved and taking into account that structures at mask are 4× larger than on wafer):

Eq. (19)

ΔCDCDAdefect(nm2)4000(a(nm)4·16)2=(ahp)2,
where a=Adefect is the effective defect size, and the investigated half pitch at wafer is 16 nm (see Fig. 11).

Fig. 11

Defect printability study by Kamo et al.:18 impact of mask defects is measured by the introduced CD change on wafer. The most challenging (i.e., with larger impact from smaller defects) are defects of protrusion type which are essentially small absorbers on multilayer (data analyzed and adopted with permission from Ref. 18).

JM3_21_2_021204_f011.png

On the other hand, a protrusion defect corresponds to an absorber on a multilayer, exactly the case considered earlier, where the contrast in an inspection tool is given by the ratio of defect area to the resolved area. Given this, we can use targeted ΔCD/CD to estimate the corresponding defect contrast:

Eq. (20)

|C|AdefectAto resolve(ahp)2ΔCDCD.
As an example, with TNR of 7 and noise overhead of 0.1, 6000 detectable photons are needed within hp-defined area to detect defects resulting in 10% CD change.

7.

Scaling Laws for Required Source Radiance and Power

Combining all together, we obtain the following scaling laws of the required in-band source brightness for actinic patterned mask inspection (APMI) application:

Eq. (21)

Lsource=hcπλ3·((1+ε)·TNRC)2·(1+σ)2p2·σ2·AmaskTsys·t·N2.
The first fraction-multiplier term in this expression combines the constants and shows wavelength dependency: 1/λ2 comes from the resolved area (in an etendue element) and 1/λ from photon energy, thus overall 1/λ3 scaling. The second term is determined by the defect sensitivity with C being optical contrast of a critical defect. The third fraction-multiplier term represents the optical system influence on contrast and resolution. Here p is the pixel size at mask. The last term is determined by the targeted throughput.

For a practical estimate, we can use the targeted defect sensitivity in terms of ΔCD/CD and the half pitch at wafer hpwafer and assume that mask half pitch is resolved in an inspection tool, and optical contrast is comparable to that of a scanner for printable defects. This results in the following dependency:

Eq. (22)

Lsource=hcπλ3·((1+ε)·TNRΔCD/CD)2·Amaskhpwafer2·σ2·  Tsys·t·N2,  
which is also illustrated in Fig. 12(a) for a stated set of realistic conditions, e.g., system transmission on the order of 1% (assuming grazing incidence optics reflectivity, pellicle transmission and detector QE of 80%, and seven multilayer mirror reflections of 60%). One can see that minimum required source radiance lies in the range of about 10 to 100  W/(mm2sr) depending on the detector pixel number.

Fig. 12

(a) Scaling laws of the required in-band source radiance as a function of linear detector size and (b) in-band source power as a function of etendue fill factor for an EUV mask inspector with a set of assumed parameters summarized in the graphs.

JM3_21_2_021204_f012.png

Let us now consider the source power needed for mask inspection. One can get it from the radiance by multiplying it with the detection etendue which is given by the total number of detector(s) pixels times pixel etendue, Gpix=π·λ2·σ2/16. One has also to account for power losses due to overfill in the illumination FOV and solid angle: etendue fill factor F. The resulting dependency is summarized in the following expression:

Eq. (23)

Psource=Lsource·N2·GpixF=hc16λ·((1+ε)·TNRC)2·Amask·(1+σ)2F·Tsys·t·p2.
Using the estimate for the contrast obtained above (in terms of ΔCD/CD) and half pitch at wafer, we get the following dependency of the source power [see also an illustration in Fig. 12(b)]:

Eq. (24)

Psource=hc16λ·((1+ε)·TNRΔCD/CD)2·AmaskF·Tsys·t·hpwafer2.
The required minimum collected source power is independent of the detector pixel number. It is determined by the required photon count per pixel, throughput, and the total number of pixels at mask. More than 20 terapixels need to be inspected with at least 1000 detected photons per pixel, which results in the source power ranging from a few mW to almost 100 mW depending on other parameters. As an example, this is beyond of what is achievable with high harmonic generation (HHG) EUV sources. For mask metrology, where a limited number of defects need to be reviewed, HHG EUV sources might be considered because of their high brightness, but for inspection of the entire mask, their power is not sufficient.1921

8.

Mask Damage

Another aspect which must be considered is the risk of mask damage during inspection. To calculate irradiance at mask, we need to multiply radiance at mask with solid angle of illumination. Here is the resulting relation where system transmission is replaced by that of imaging optics, mask (with pellicle), and detector:

Eq. (25)

Emask=Lmask·Ωill=hc16λ·((1+ε)·TNRC)2·Amask·(1+σ)2Tmask·Tim·QE·t·N2·p4.
The example plotted in Fig. 13(a) is obtained again using ΔCD/CD and half pitch at wafer for contrast/sensitivity estimate:

Eq. (26)

Emask=hc16λ·((1+ε)·TNRΔCD/CD)2·AmaskTmask·Tim·QE·t·N2·hpwafer4·(1+σ)2.
Regarding damage threshold, the respective studies22,23 show that fluence per pulse must be considered which is dependent on irradiance at mask and source frequency. The onset of damage mechanisms for patterned mask is observed at fluences per pulse as low as 10  mJ/cm2.22 Damage mechanisms are mainly thermally activated and thermomechanical processes.23 The interdiffusion of Mo and Si leads to formation of MoSi2 which is a denser material, which results in compaction.24 The multilayer period is consequently reduced and thus reflectivity changes. The challenging requirement for mask inspection is that reflectivity changes stay below 0.1% for 25 inspections, which means that changes in lattice constant should be smaller than 5 pm.

Fig. 13

(a) Scaling laws of the irradiance at mask and (b) fluence per pulse as a function of linear detector size for an EUV mask inspector with a set of assumed parameters summarized in the graphs.

JM3_21_2_021204_f013.png

Figure 13(b) shows the corresponding scaling of fluence per pulse assuming source repetition rate of 5 kHz. One can see that one can actually get into the regime where mask damage may occur. References 2223.24.25.26 provide insights into different processes which may cause damage or modification of mask multilayer. For example, in addition to compaction, surface roughening may occur caused by accumulation of plastic deformation under cyclic thermoelastic strain induced by pulsed EUV illumination. If absorbed energy heats the multilayer above 325°C, the MoSi2 layer crystallizes, followed by complete intermixing causing significant reduction of reflectivity.

For parameters that result in fluence per pulse equal to damage threshold, we can trace back what is the corresponding source brightness and find 100  W/(mm2sr) for 5 kHz repetition rate [see Fig. 13(b)]. This sets upper limit on source brightness (at certain frequency) or (ultimately) the lower limit on its repetition rate, and when combined with the results in Fig. 12, indicates that for the highest performance EUV mask inspection, the APMI system design is likely to face constraints, for example on minimum detector size.

In addition to mask damage, one must also consider risks of adding particle contamination defects. EUV mask inspectors are the cleanest machines among all tools used in a fab or mask shop since they are supposed to find defects and not introduce any. Ultraclean vacuum and particle control are extremely critical for mask environment. As an example, current spec for Teron 640e4 is <0.1 particles larger than 30 nm added per reticle pass (and 0 above 120 nm). This requirement is going to tighten in the future as the structures shrink.

9.

Source Debris and Life Time

Although plasma-based sources are efficient EUV emitters, these are known to be the dirtiest subsystems in EUV tools. The problem of isolating the mask from source debris is more severe in an inspector than in a scanner. Based on its optical constants, tin is a strong absorber, with the real part of refractive index close to that of a Ta-based absorber, but the extinction coefficient k twice higher. For a tin-based source, tin reaching the mask generates therefore critical (absorber) defects. The best values targeted and achieved in scanners are one adder per 10,000 wafers.27 At 140  wafers/h, this corresponds to 70  h of exposures. 2016 status was 120 adders.27 Assuming 5 h per mask inspection, these numbers can be translated to at least one adder per 15 inspections! 2016-equivalent data would correspond to about 10 adders per one inspection! These values are not acceptable.

There are several mechanisms how tin may reach the mask. This happens mainly due to secondary effects of bounced/released microparticles, liquid tin splashing, and accumulation (and subsequent sputtering) of deposited tin at the walls.28,29 A thin-film membrane separating the source from the rest of the system could improve the protection but introduces its own issues and particulation risks if ruptured. An EUV source for reticle inspection must comply with the tight cleanliness requirements.

Closely related to particles debris from source is its lifetime. In plasma-based sources, to produce EUV light, matter is ablated more than 5000 times per s. Solving the problem of target recovery and lifetime is therefore the biggest challenge. This includes lifetime of any component facing plasma or near it. Let us consider an example of electrode erosion in discharges, where published data is available. Electrode mass loss is about 1 g per mega-shot30 which corresponds to 5 kg per 10 days. Even for recovering liquid-tin coated electrodes, it is a huge consumption. Electrode lifetime of 2 billion shots is reported for LDP concept,31 which translates to about 5 days at 5 kHz. For an inspection tool, replacement of components every week is not an option. Maintenance time of 5% to 10% represents a serious problem for the inspection system availability. The source must be highly reliable to avoid impact to overall system availability. In patterned mask inspection, every % of tool availability is so critical that anything <85% is unlikely to gain adoption by mask shops that run 24/7. Inspection system providers are evaluating or developing plasma source technologies which can contain Sn debris or avoid it altogether.32,33

10.

Conclusions

We presented the guidelines to determine requirements on inspection source brightness. The required radiance may vary significantly depending on technical realization of an inspection tool. Minimum needed source radiance of about 10 to 50  W/(mm2sr) scales quadratically with the targeted defect sensitivity and inversely with throughput and detector pixel number. Minimum needed source power is estimated to be about 1 to 100 mW. It is determined by photon count per pixel, pixel number at mask and throughput and is independent of detector pixel number. Mask damage threshold sets upper limit on source brightness and lower limit on its repetition rate, e.g., 100  W/(mm2sr) at 5 kHz. Higher repetition rates are favorable. These inspectors are the cleanest machines among all tools used in a fab/mask shop. Contamination and particle control are extremely critical. The source subsystem must have high reliability to avoid impact to the overall system availability. Every 1% availability loss is critical for mask shops that run 24/7. Weekly maintenance is not an option.

Acknowledgments

L. J. appreciates the exciting and helpful discussions with Fergal O’Reilly from the University College Dublin, Klaus Bergmann and Serhiy Danylyuk from Fraunhofer Institute for Laser Technology in Aachen, and Konstantin Tsigutkin from KLA Corporation at different stages of gaining insights into the complex interrelations of high-resolution imaging applications with laboratory EUV sources.

References

1. 

T. Brunner et al., “EUV dark field lithography: extreme resolution by blocking 0th order,” Proc. SPIE, 11609 1160906 (2021). https://doi.org/10.1117/12.2582751 PSISDG 0277-786X Google Scholar

2. 

J. van Schoot et al., “High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (4), 041010 (2017). https://doi.org/10.1117/1.JMM.16.4.041010 Google Scholar

3. 

V. Bakshi Ed, EUV Lithography, SPIE Press, Bellingham, Washington (2018). Google Scholar

4. 

W. Broadbent et al., “1X HP EUV reticle inspection with a 193 nm inspection system,” Proc. SPIE, 10451 104510M (2017). https://doi.org/10.1117/12.2281354 PSISDG 0277-786X Google Scholar

5. 

T. Yamane et al., “The performance of an actinic full-field EUVL mask blank inspection system,” Proc. SPIE, 7271 72713H (2009). https://doi.org/10.1117/12.813595 PSISDG 0277-786X Google Scholar

6. 

T. Terasawa et al., “Actinic mask blank inspection and signal analysis for detecting phase defects down to 1.5 nm in height,” Jpn. J. Appl. Phys., 48 06FA04 (2009). https://doi.org/10.1143/JJAP.48.06FA04 Google Scholar

7. 

T. Terasawa et al., “Actinic phase defect detection and printability analysis for patterned EUVL mask,” Proc. SPIE, 7636 763602 (2010). https://doi.org/10.1117/12.846678 PSISDG 0277-786X Google Scholar

9. 

D. L. Brown and Y.-H. Chuang, “Continuous clocking of TDI sensors,” (2006). Google Scholar

10. 

L. Juschkin, “Imaging with plasma based extreme ultraviolet sources,” Proc. SPIE, 8678 86780F (2012). https://doi.org/10.1117/12.2011139 PSISDG 0277-786X Google Scholar

11. 

L. Juschkin, “Source radiance requirements for high-resolution imaging and interference techniques,” in Proc. 2014 Int. Workshop EUV and Soft X-Ray Sour., (2014). http://www.euvlitho.com/2014/2014%20Source%20Workshop%20Proceedings.pdf Google Scholar

12. 

E. Abbe, “Beiträge zur Theorie des Mikroskops und der mikroskopischen Wahrnehmung,” Archiv f. mikrosk. Anatomie, 9 413 –468 (1873). https://doi.org/10.1007/BF02956173 Google Scholar

13. 

M. Born and E. Wolf, “The diffraction theory of aberrations,” Principles of Optics: Electromagnetic Theory of Propagation, Interference and Diffraction of Light, 517 –553 Cambridge University Press, Cambridge (1999). Google Scholar

14. 

A. Rose, “Television pickup tubes and the problem of vision,” Advances in Electronics, 131 –166 Academic Press, New York (1948). Google Scholar

15. 

Y.-G. Wang, “Key challenges in EUV mask technology: actinic mask inspection and mask 3D effects,” UC Berkeley, (2017). Google Scholar

16. 

Y.-G. Wang et al., “Phase-enhanced defect sensitivity for EUV mask inspection,” Proc. SPIE, 9235 92350L (2014). https://doi.org/10.1117/12.2069291 PSISDG 0277-786X Google Scholar

17. 

Y.-G. Wang, A. Neureuther and P. Naulleau, “Impact of noise sources and optical design on defect detection sensitivity in extreme ultraviolet actinic pattern inspection tool,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (1), 013504 (2017). https://doi.org/10.1117/1.JMM.16.1.013504 Google Scholar

18. 

T. Kamo et al., “Mask 3D effect reduction and defect printability of etched multilayer EUV mask,” Proc. SPIE, 10957 109571C (2019). https://doi.org/10.1117/12.2515273 PSISDG 0277-786X Google Scholar

19. 

D. G. Lee, “Actinic tools using coherent EUV source for high volume manufacturing,” in Proc. 2020 EUVL Workshop, (2020). https://drive.google.com/file/d/1Ux0yPvtzG78cZ_u_X3ZdanqY2TLqy4d9/view Google Scholar

20. 

J. Na et al., “Application of actinic mask review system for the preparation of HVM EUV lithography with defect free mask,” Proc. SPIE, 10145 101450M (2017). https://doi.org/10.1117/12.2257390 PSISDG 0277-786X Google Scholar

21. 

P. P. Naulleau et al., “Electrooptical system for scanning microscopy of extreme ultraviolet masks with a high harmonic generation source,” Opt. Express, 22 (17), 20144 –20154 (2014). https://doi.org/10.1364/OE.22.020144 OPEXFF 1094-4087 Google Scholar

22. 

M. Müller et al., “EUV damage threshold measurements of Mo/Si multilayer mirrors,” Appl. Phys. A, 108 263 (2012). https://doi.org/10.1007/s00339-012-7037-9 Google Scholar

23. 

J. Blanchard, “Roughening mechanisms for heated surfaces,” (2002). https://blanchard.engr.wisc.edu/res/BlanchardRoughening.pdf Google Scholar

24. 

S. Bruijn et al., “Thermal stability and lifetime scaling of multilayer EUVL optics,” (2009). http://euvlsymposium.lbl.gov/pdf/2009/poster/P012_Bruijn_FOMInstitute.pdf Google Scholar

25. 

S. P. Hau-Riege and D. G. Stearns, “Correction of figure errors on optical surfaces by laser-induced contraction of Mo/Si multilayers,” Opt. Lett., 28 456 (2003). https://doi.org/10.1364/OL.28.000456 OPLEDP 0146-9592 Google Scholar

26. 

C.-H. Ban et al., “Pattern dependent distortion and temperature variation in EUV mask,” Proc. SPIE, 11147 111471R (2019). https://doi.org/10.1117/12.2536721 PSISDG 0277-786X Google Scholar

27. 

M. van de Kerkhof et al., “Advanced particle contamination control in EUV scanners,” Proc. SPIE, 10957 109570U (2019). https://doi.org/10.1117/12.2514874 PSISDG 0277-786X Google Scholar

28. 

K. Gielissen, “The nature and characteristics of particles produced by EUV sources: exploration, prevention and mitigation,” TU Eindhoven, (2009). Google Scholar

29. 

I. V. Fomenkov et al., “EUV sources for high-volume manufacturing,” EUV Lithography, SPIE Press, Bellingham, Washington (2018). Google Scholar

30. 

H. Verbraak et al., “Angular ion emission characteristics of a laser triggered tin vacuum arc as light source for extreme ultraviolet lithography,” J. Appl. Phys., 108 093304 (2010). https://doi.org/10.1063/1.3499611 JAPIAU 0021-8979 Google Scholar

31. 

J. Pankert et al., “EUV sources for the alpha-tools,” Proc. SPIE, 6151 61510Q (2006). https://doi.org/10.1117/12.657066 PSISDG 0277-786X Google Scholar

32. 

K. Koshelev et al., “Debris-free high-brightness light source based on LPP for actinic EUV microscopy and metrology applications,” Proc. SPIE, 10809 108091Q (2018). https://doi.org/10.1117/12.2501812 PSISDG 0277-786X Google Scholar

33. 

O. Khodykin, “Bright and reliable Xe-based EUV source for metrology and inspection applications,” in Proc. 2015 Int. Workshop EUV and Soft X-Ray Sources, (2015). https://www.euvlitho.com/2015/S31.pdf Google Scholar

Biography

Larissa Juschkin received her PhD in atomic and plasma physics from Ruhr-University Bochum, Germany. In 2012, she was appointed to a professorship for experimental physics of EUV at RWTH Aachen University. In 2018, she joined KLA as an EUV technologist and is currently program manager for next generation wafer inspection systems using broadband plasma sources. Her scientific interests combine plasma-based radiation sources with modern nanotechnology applications, especially in the fields of nanostructuring and high-resolution measurement technology.

Daniel Wack received his BA degree in physics from Amherst College and his PhD in applied physics from Cornell University. Currently, he is a senior director in the Reticle Inspection Division at KLA engaged in development of technology, architecture, and optics for EUV mask inspection systems. He has held multiple engineering and business leadership positions in product development at KLA and Tencor, focused on high performance, production-worthy optical systems, and generating more than 30 patents.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Larissa Juschkin and Daniel C. Wack "Source performance metrics for EUV mask inspection," Journal of Micro/Nanopatterning, Materials, and Metrology 21(2), 021204 (8 March 2022). https://doi.org/10.1117/1.JMM.21.2.021204
Received: 17 November 2021; Accepted: 17 February 2022; Published: 8 March 2022
Advertisement
Advertisement
KEYWORDS
Photomasks

Inspection

Extreme ultraviolet

Sensors

Imaging systems

Semiconducting wafers

Interference (communication)

Back to Top