Open Access
5 April 2022 Chemically amplified resist CDSEM metrology exploration for high NA EUV lithography
Author Affiliations +
Abstract

Background: The chemically amplified resist (CAR) has been the workhorse of lithography for the past few decades. During the evolution of projection lithography to extreme ultraviolet lithography (EUVL), a continuous reduction in feature size is observed. Also, a reduction in resist film thickness (FT) is required to prevent large aspect ratios that lead to pattern collapse. A further reduction in resist FT, into an ultrathin film regime (<30  nm resist FT), is expected when advancing to high NA EUVL. This brings along associated challenges with (1) resist critical dimension scanning electron microscope (CDSEM) metrology and (2) resist patterning performance.

Aim: Assessment of metrology challenges and patterning limits of a CAR working in this ultrathin film regime. Deconvoluting the metrology and patterning effect on the determination of the unbiased line width roughness (uLWR).

Approach: Patterning a CAR at different nominal resist FTs on two different underlayers to quantify the changes in CDSEM image quality and resist patterning performance with the resulting uLWR changes.

Results: The CDSEM image signal-to-noise ratio (SNR) depends on resist FT and the underlayer. The uLWR increases with a reduction in resist FT but scales differently on the two underlayers.

Conclusions: A relationship between CDSEM image SNR and uLWR is found. The SNR and uLWR scaling difference on the two underlayers, as well as the uLWR dependency on SNR was determined to be a metrology effect. The general uLWR increase for a reduced resist FT was determined to be a patterning effect.

1.

Introduction

Over the past decades, integrated circuits have seen a continuous increase of computing power by increasing the number of transistors per unit area. To accomplish this, the semiconductor industry has witnessed the transition from contact and proximity printing in the 1970s to a range of projection lithography techniques. The drastic resolution improvement in projection lithography has been enabled by three main factors: (1) the reduction of imaging source wavelength λ, (2) the increase in the lens numerical aperture (NA), and (3) the reduction of the process-related k1-factor, that are summarized in the Rayleigh equation, where HP represents the smallest possible half-pitch:

Eq. (1)

HP=  k1λNA.

One driving factor has been the reduction in wavelength, where we have seen the transition from G-line (436 nm) to I-line (365 nm) down to the KrF (248 nm) and ArF (193 nm) excimer lasers, and finally the transition to extreme ultraviolet (EUV) photons (13.5 nm). In parallel, throughout the optimization of lithographic technologies, a continuous downscaling of the resist film thickness (FT) was required. The reduction in resist FT is mainly needed to minimize large aspect-ratios (i.e., resist height-to-width) when progressing to smaller features sizes. These large aspect ratios would otherwise cause pattern collapse during the development of the exposed resist lines due to capillary forces during the rinse process.1

The further instrumental development toward smaller feature sizes in EUV lithography (EUVL) is based on the implementation of a larger NA.2 This NA relates to the range of angles that the lens or mirror can accept:

Eq. (2)

NA=nsin(θ).

By increasing the size of the lens or mirror, it can capture more diffraction orders (i.e., more information), which allows it to print smaller feature sizes. The further development of EUVL is thus based on introducing the high NA EUVL, in which the current NA-value of 0.33 is increased to 0.55. With the transition to high NA EUVL, again a reduction in resist FT is envisioned to prevent large aspect ratios that come along with an improved resolution. However, an additional reason is also given by a second Rayleigh equation that shows that the depth-of-focus (DoF) scales with the quadratic inverse NA:

Eq. (3)

DoF=k2λNA2.

The DoF gives the focus range that can be tolerated during the exposure. As a consequence, a reduced DoF will limit the range (and thus also the FT) over which the exposure contrast (the normalized image-log-slope or NILS) is high enough to deliver a good resist patterning performance.2,3

The current expected reduction in resist FT takes us in an ultrathin film regime (<30 nm resist FT), which will bring along associated challenges. These challenges can be subdivided into (1) metrology challenges due to lower critical dimension scanning electron microscope (CDSEM) image quality and (2) challenges related to resist patterning performance. It is thus important to assess the impact and increase the understanding on roughness scaling of thin film resists required for high NA EUVL lithography. Typically, experimental observations show an increase of the line width roughness (LWR) for thinner resist films.4,5 Both patterning and metrology effects can play a role in the measured roughness, which may convolute the determination of the real roughness. Thus, the goal of this work is to investigate the metrology sensitivity and detangle both patterning and metrology effects on LWR scaling through resist FT. In view of this, the experimental work was set up with a fixed state-of-the-art EUV chemically amplified resist (CAR) on two different state-of-the-art patterning underlayers.

2.

Materials and Methods

2.1.

Materials

A state-of-the-art EUV positive tone CAR was applied on two underlayer materials: (1) a siloxane-based spin-on underlayer (spin-on-glass or SOG) and (2) a carbon-based organic spin-on underlayer (UL).

2.2.

Methods

2.2.1.

EUV exposure

The sample preparation for EUV exposure was done by spin coating the desired underlayer (SOG or UL) on top of a silicon wafer. This layer was subsequently baked at the vendor recommended setting. On top of this underlayer, a state-of-the-art CAR was spin coated at the desired nominal FT (10, 15, 20, 25, or 30 nm) and baked at vendor recommended settings (130°C 60 s). An FT check was performed using ellipsometry to confirm these nominal values. The wafers were subsequently exposed in an ASML full-field NXE:3400 scanner with a custom X-dipole illumination to print vertical 1:1 lines and spaces at a pitch of 32 nm. Subsequently, the wafers received a postexposure bake of 90°C for 60 s and were developed with a 2.38% tetramethyl-ammonium hydroxide solution.

2.2.2.

CDSEM and power spectral density

Patterning images were taken with a Hitachi CG-6300 CDSEM. The wafer dies with the selected conditions were investigated by taking 50 images per die. For the CDSEM images, we used the following best-known imec settings: using 500-V acceleration voltage, 8.0-pA probe current, 1638  nm×1638  nm images at 2048×2048  pixels, 83K magnification, 0.8-nm pixel size, for a total area of 128  μm2, according to imec protocol.6 These images were then used to obtain unbiased line-width roughness (uLWR) and critical dimension (CD) values through the Fractilia MetroLER software version 2.3.0.7 In addition to the unbiased roughness value, the software also gives access to the full power spectral density (PSD) averaged over 50 images, which provides additional information on the size-scale distribution of the roughness.8

2.2.3.

Atomic force microscopy

Resist array height measurements were conducted using a Park systems NX3DM atomic force microscope (AFM) utilizing a high aspect-ratio probe, over a 2000×500  nm2 area at 2048 pixels (resist line length) and 32 resist lines at 12  pixels (resist line width). A blanket (unexposed) area was used for Z-drift correction as this area was nearby and wide enough for our purpose. The bottom of a completely exposed and developed dummy structure was used as the zero resist FT reference. Since a single AFM cut line is affected by roughness, averaged sections (16 lines) were acquired to improve statistics. The final AFM resist array height measurement was obtained from the averaged results. The AFM line top roughness (LTR) measurements were obtained over a 1000×1000  nm2 area at 2048 pixels (resist line length) and 256 resist lines at 12  pixels (resist line width).

3.

Results and Discussion

As stated in Sec. 1, the challenges we expect to face for high NA EUVL can be subdivided into (1) metrology challenges due to reduced CDSEM image quality and (2) challenges related to resist patterning performance. Both these challenges will be addressed in the following discussion. The design of experiments for the metrology part entails the (1) visual comparison of the CDSEM images of the various resist FTs, (2) verification of the resist array height compared to the nominal resist FT by AFM, (3) assessment of the quality of the CDSEM images with the signal-to-noise ratio (SNR), and (4) the determination of the CD 3σ precision of a full CDSEM image. For the patterning performance part, the goal is the (1) determination of the uLWR scaling through resist FT and (2) a CDSEM frame variation study to further elucidate that observed uLWR scaling. Additional simulation work is also considered to further strengthen the experimental data for both metrology and patterning parts.

3.1.

CDSEM Metrology Challenges and Optimization

3.1.1.

CDSEM image contrast and AFM resist array height

An initial CDSEM image comparison of the patterned resist at different nominal resist FTs on both underlayers is shown in Fig. 1. In this figure, two basic observations can be made: (1) a reduction in resist FT leads to a reduction in CDSEM image contrast, to such an extent that it is difficult to confirm a line-space pattern for 10 nm resist on SOG, and (2) the different underlayers provide a different CDSEM image contrast.

Fig. 1

CDSEM images of resist FT variation (30, 25, 20, 15, and 10 nm) on an SOG UL and an organic UL.

JM3_21_2_021207_f001.png

The observed contrast difference can have two possible origins. It could be induced by a patterning effect, meaning that the same nominal resist FT would lead to a different effective resist FT after exposure and development depending on the underlayer. However, the underlayers could also exhibit a discrepancy in electron yield (CDSEM response) causing the contrast difference, indicating a metrology effect. To this end, AFM measurements were done to determine the resist array height. Figure 2 shows the AFM line-space patterns for the various resist FTs on both underlayers. The average resist array height of five different dies (through dose) for the different underlayers is shown in Fig. 3(a). This shows that the average resist FT shrinkage follows a linear trend and that the resist array height is 70% of the nominal coated resist FT value. This empirical value in resist FT reduction can be attributed to both the development process (as you will always lose a portion of unexposed resist) and the dark erosion effect. It also reveals a small height difference between both underlayers, as the resist array height on the UL is 10% lower compared to the SOG. This difference can be explained when looking at the influence of exposure dose on the resist array height in Fig. 3(b). A higher exposure dose results in a lower resist array height, explaining the lower resist FT on the UL because a resist typically requires a 10% higher dose to obtain a similar CD compared to the SOG. Since these results show that the UL provides a better CDSEM contrast, despite having a slightly lower resist array height, we can conclude that the contrast discrepancy between SOG and UL is a metrology effect. In addition, the results confirm that the line-space pattern for 10 nm resist FT on SOG is indeed present, confirming successful patterning and indicating a metrology issue.

Fig. 2

AFM images of resist FT variation (30, 25, 20, 15, and 10 nm) on an SOG UL and an organic UL.

JM3_21_2_021207_f002.png

Fig. 3

(a) Average AFM resist array height for five different dies through dose, and (b) the single AFM resist array height measurements for those dies plotted versus their respective exposure dose.

JM3_21_2_021207_f003.png

Having qualitatively confirmed that the UL provides a higher CDSEM image contrast, despite the slightly lower resist array height, a further investigation aimed to quantify this effect is necessary. The contrast of a CDSEM image can be calculated by looking at the minimum and maximum grayscale values of the CDSEM image signal and calculated with the following equation:

Eq. (4)

Contrast=ImaxIminImax+Imin.

However, the contrast of a CDSEM image is not the best choice to assess image quality, as the images are often subjected to contrast stretching or normalization. A better way to quantify the quality of a CDSEM image is the linescan signal-to-noise ratio (SNR), as both signal and noise levels are considered. For lines and spaces, this SNR is calculated per feature based on the average linescan as a function of the minimum and maximum grayscale value, and grayscale noise (1σ) that is measured as the standard deviation of the image grayscale values. For the minimum and maximum grayscale value the average linescan is considered, meaning that the CDSEM image is collapsed into a single line grayscale value. For the grayscale noise, each column of pixels can be assessed so an average value of the noise can be obtained. Both the line and space area of the CDSEM image is considered while staying away from the line edges since that would correlate with measuring roughness and not grayscale noise.

Eq. (5)

LinescanSNR=ImaxIminGrayscale noise(1σ).

For a given resist-underlayer combination, a trend is observed between the SNR and AFM resist array height, as shown in Fig. 4. These results quantitatively confirm that the UL provides better CDSEM images, as the UL SNR is higher for the same FT compared to the SOG. A plausible explanation is that the UL mostly contains carbon–carbon bonds, whereas the SOG contains more oxygen-rich chemical species (i.e., the silicon–oxygen bonds), which leads to an increase in electron yield for the SOG material. A higher electron yield in the underlayer will cause a higher background (noise) signal during CDSEM image collection, and thus lower SNR.

Fig. 4

AFM resist array height versus SNR for five dies per resist FT for both SOG and UL.

JM3_21_2_021207_f004.png

3.1.2.

CDSEM image SNR and CD precision

A point of concern related to the SNR reduction is that it could influence the precision of resist performance parameters, such as the CD and LWR. The static CD precision from a CDSEM image can be determined by taking one CDSEM image of the desired features (i.e., line-space) and performing a static repeat of the same feature at the exact same location. This ensures that the resist lines in both images are the same. The reason for choosing a static repeat, rather than a dynamic one, is solely due to the lack of a good SEM alignment target nearby the measured features. On a mask with a more suitable SEM alignment, we expect the dynamic precision to be similar to the static one. In each investigated die, 50 CDSEM images (at a different location within the die) plus a static repeat image per CDSEM image were taken at 49 lines per image. The CDs of the 49 lines in the CDSEM image were then compared to the corresponding CDs of the static repeat image in the following way, to calculate the static precision: (1) the average CD difference between the 50 images and its repeat is calculated (shrinkage), (2) the CDs of the 49 lines of the 50 repeat images are corrected for the shrinkage, and (3) the variance of the CDs of the image and the corrected repeat CDs is calculated for all pairs. The square root of the average of this variance multiplied by three gives the single line CD precision of the CDSEM image. To calculate the CD precision of a full image, this value must be divided by the square root of 49 (the number of lines in the CDSEM image). Figure 5 shows the full image CD precision versus SNR. For the SOG, the full image CD precision error stays below 0.15 nm (for the resist FT indicated). For the UL, the full image CD precision error stays below 0.09 nm for the same FT range (down to 15 nm resist FT) but increases to 0.19 nm for the 10 nm resist FT (not measurable for SOG). This CD precision value can be further lowered by taking additional images. The required CD precision depends on the technology node and feature type. Taking a desired CD precision of 10% of the required CD control is a good rule of thumb, resulting in a required CD precision of 0.1  nm.9

Fig. 5

Full image (49 lines) CD 3σ precision versus linescan SNR.

JM3_21_2_021207_f005.png

3.1.3.

Unbiased roughness trends

Since the metrology challenges were addressed, also the patterning performance will be investigated through the comparison of roughness. The unbiased roughness is determined through an unbiasing procedure in which a PSD plot is used to determine the SEM noise floor.7,8 This noise floor is subsequently subtracted from the PSD to obtain the unbiased PSD and the associated uLWR value. Figure 6 shows the outcome of the unbiasing procedure for the SOG and UL. Each datapoint represents the averaged results of 50 images taken at different locations within the same die. From these results, two observations can be made: (1) the error on uLWR increases with reduced resist FT and (2) the uLWR seems to scale inversely to a reduction in resist FT (i.e., a lower resist FT leads to a higher uLWR) in agreement with previous reported observations.4,5 In addition, the uLWR scales differently on the two underlayers. On the SOG, the uLWR increase originating from a reduced resist FT remains limited, whereas it increases dramatically for the UL. Considering the CDSEM image differences between the SOG and UL, this indicates either an effect of the SNR on the uLWR (metrology effect) or an effect of underlayer-resist interaction that becomes more pronounced as the resist FT is reduced (patterning effect).

Fig. 6

Unbiased LWR 3σ with associated 3σ standard deviation error bars determined from 50 images.

JM3_21_2_021207_f006.png

3.1.4.

SNR and frame variation

To further elucidate the effect of the SNR on the uLWR determination observed in the previous section, a set of CDSEM images with a different number of frames (1, 2, 4, 8, 16, 32, and 64) was collected on both underlayers. The different number of frames were used to modulate the SNR of the CDSEM images, thus providing further information to understand the origin of the uLWR behavior. Figure 7 shows the uLWR versus the number of frames for both the SOG and UL.

Fig. 7

Unbiased LWR 3σ versus a different number of frames (1, 2, 4, 8, 16, 32, and 64) for different resist FT variations with associated 3σ standard deviation error bars determined from 50 images.

JM3_21_2_021207_f007.png

For 30- and 25-nm FT, we observe that the uLWR differs slightly for the SOG and UL at a lower number of frames but converges at the highest number of frames (64). The 20- and 15-nm FT show a larger difference between the SOG and UL but still show a tendency to converge toward a similar uLWR value at higher number of frames. This is a first indication that SNR differences play a role in uLWR determination on both underlayers. Stronger evidence of this correlation can be found by combining all frame variation plots in a single master plot, by plotting the uLWR values versus SNR for the different number of frames, as reported in Fig. 8.

Fig. 8

Unbiased LWR 3σ versus SNR for different number of frames (4, 8, 16, 32, and 64) for different resist FT variations on SOG and UL with associated 3σ standard deviation error bars determined from 50 images. An example for the different number of frames is given for 30 nm (UL).

JM3_21_2_021207_f008.png

In this unified graph, each datapoint represents the averaged results of 50 images with the different number of frames (4, 8, 16, 32, and 64) for both the SOG and UL. In this graph, we observe two effects: (1) an increased dependence of uLWR on SNR when reducing the resist FT and (2) a characteristic response curve for each resist FT, where uLWR increases when reducing the resist FT.

The first effect where a uLWR dependency on SNR is observed can be addressed as a metrology effect: at conventional resist FT (30 nm), the SNR differences do not cause large changes in uLWR on both SOG and UL, as the curvature of the response curve is relatively small. However, moving down in resist FT results in an increase of the steepness of the response curves and thus also a larger discrepancy between uLWR for SOG and UL. We thus interpret the trend of the UL characteristic response curves with SNR as a metrology artifact, suggesting that the real uLWR value is potentially linked to the plateau value in each response curve.

The second effect where an increase in uLWR is observed for a lower resist FT can be addressed as a patterning effect. Since reducing the resist FT lowers SNR, this may also be the cause of an uLWR change. However, we argue that it is not a metrology effect, because (I) in the first effect a lower SNR is directly correlated to a lower uLWR value. This would mean a lower uLWR should be obtained for a lower resist FT, which is not the case. (II) If the uLWR differences between the different resist FTs would be solely due to a metrology effect, a single uLWR-vs-SNR master curve should be observed for all resist FTs. However, we observe a distinct response curve for each resist FT. Labeling this observation as a patterning effect can be intuitively explained by considering that a lower resist FT requires a lower dose to print and, as such, increase the resist stochastics, which negatively impact the roughness. In addition, when reducing the resist FT, the resist–underlayer interaction becomes more dominant, which may affect resist roughness as well.10

These observations suggest that a threshold SNR should be defined above which the real uLWR value is given, and below which the uLWR value is not reliable anymore. This also implies that the resist patterning performance on two different underlayers is best compared when estimated at the same SNR rather than the same number of frames as is standard. An important note to make here is that the unbiasing procedure works perfectly for high SNR values. This means that at high resist FT the LWR can be considered unbiased regardless of SNR value. However, at lower resist FT a dependency on SNR is observed below a certain SNR threshold value. Thus, the use of the term unbiased LWR is only valid above this threshold value.

3.1.5.

SNR modulation by simulation

To further confirm our interpretation, some basic concerns need to be addressed. In particular, the physical impact of the frame variation used to modulate the SNR needs to be understood. In fact, a higher number of frames may cause physical changes in the resist line, which could artificially create a trend. To this end, the AFM LTR was measured for the 15-nm resist FT lines exposed to different number of frames on both SOG and UL, shown in Fig. 9. This reveals that the LTR does not change drastically with increased number of frames and even has the tendency to smoothen the line. Hence, we can conclude that the SNR trends obtained in Fig. 8 are not caused by CDSEM e-beam interaction.

Fig. 9

AFM LTR 3σ RMS versus different number of frames (0, 4, 8, 16, 32, and 64).

JM3_21_2_021207_f009.png

Following these results, some simulations were performed to better understand the reliability of uLWR at low SNR. MetroLER was used to create synthetic SEM images (2048×2048  pixels at 0.8 nm pixel size) of various SNR ratios (various amounts of added grayscale noise), with a fixed uLWR of 2.5 nm. When generating synthetic SEM images, MetroLER includes a sophisticated noise model that includes non-Gaussian added pixel noise and image contrast stretching, validated with experimental images.11,12 These synthetic images were then analyzed to determine the uLWR and corresponding SNR values, as shown in Fig. 10(a).

Fig. 10

(a) Unbiased LWR 3σ with associated 3σ standard deviation bars versus SNR and (b) uLWR percent deviation versus SNR of the synthetic SEM images.

JM3_21_2_021207_f010.png

Figure 10(a) shows that the synthetic MetroLER SEM images exhibit a similar behavior to the experimental data; the uLWR increases for higher SNR values, reaching a plateau near the input uLWR value, confirming our conclusions based on Fig. 8. Furthermore, the simulated data help to define a quantitative SNR threshold value, based on the percent deviation from the nominal uLWR value, shown in Fig. 10(b). In this case, an SNR threshold value of 2 is estimated for a 5% uncertainty on the uLWR value.

While these guidelines are useful, they do not provide the full picture. Several factors can influence the SNR threshold value to obtain a reliable uLWR. For instance, as seen in the experimental data, the SNR threshold will be pushed to a lower value when the resist is thicker. Vice versa, this is pushed to a higher threshold value when the resist is thinner. Moreover, additional simulation results, shown in Fig. 11(a), indicate the importance of pixel size in determining the SNR threshold value. A larger pixel size will require a higher SNR threshold value, whereas a smaller pixel size allows for a more lenient, lower threshold value. It is thus important to note that the SNR threshold value depends on the pixel size used and moving to a smaller pixel size will shift these thresholds to lower SNR values, potentially enabling more accurate uLWR determination for thinner resists films, as shown in Fig. 11(b).

Fig. 11

(a) Simulated unbiased LWR 3σ for different pixel size with associated 3σ standard deviation bars versus SNR and (b) uLWR percent deviation versus SNR of the synthetic SEM images.

JM3_21_2_021207_f011.png

4.

Conclusion and Outlook

The goal of this work was to investigate the patterning limits and metrology sensitivity is one whole section, and detangle both patterning and metrology effects on LWR scaling through resist FT, in view of high NA EUVL. For the CDSEM metrology challenges, we have shown that the underlayer on which the resist is printed plays a crucial role in the contrast and SNR of the CDSEM image. AFM was used to investigate the origin of the contrast discrepancy between the underlayers used. It was possible to measure the resist array height (70% of the nominal resist FT) and show the effect of exposure dose on the resist height. With AFM, it was also possible to show that the resist FT is directly correlated to SNR and thus shows that a reduction in resist FT is proportional to a reduction in SNR. The results showed that the UL had a slightly lower resist array height compared with the SOG, despite providing a higher SNR value. This led us to conclude that the different contrast between underlayers was a metrology effect. Finally, we have shown that the single-image CD precision stays below 0.09 nm for resist FT down to 15 nm for SOG and UL, and that it goes up to 0.19 for the 10-nm resist on the UL.

During the assessment of the resist patterning performance, we attempted to deconvolute metrology and patterning effects on the uLWR determination. The resist patterning performance was assessed at different resist FTs. At the current CDSEM standard settings, the uLWR scaled drastically different on the SOG and UL when gradually decreasing the resist FT. While both SOG and UL started at the same uLWR of 2.50 nm at 30 nm resist FT, the uLWR at 15 nm resist FT was more than 1 nm larger for the resist on the UL. Prompted by the SNR differences on both underlayers, a frame variation study was used to obtain different SNR values at all resist FTs. Resist patterning at all FTs on the SOG and UL shows the tendency to converge to a similar uLWR value at higher frame numbers for the same FT. When plotting the uLWR versus SNR for all FTs on the two underlayers, a characteristic response curve for each FT is obtained. This shows that the uLWR increase observed with a reduction in resist FT is a patterning effect. In addition, it reveals that the uLWR differences between both underlayers is likely a metrology artifact. We have summarized the observed metrology and patterning effects in Table 1.

Table 1

Summary of the observed metrology and patterning effects.

ObservationOrigin
CDSEM image contrast/SNR difference between ULsMetrology effect
uLWR scaling difference between ULsMetrology effect
uLWR dependence on SNRMetrology effect
uLWR increase for reduced resist FTPatterning effect

The additional simulation work confirms that the real uLWR value is likely linked to the plateau value at higher SNR values. The quantification of a threshold value above which the uLWR is reliable is possible but depends among others on: (1) resist FT, as a smaller resist FT necessitates a higher SNR threshold value and vice versa and (2) the pixel size, as a smaller pixel size lowers the required SNR threshold value potentially enabling more accurate uLWR determination for thinner resists films.

As outlook of this work, a further investigation and development of a new best-known-method for measuring thinner resist films on the CDSEM is needed. Moreover, additional work is planned to also investigate the CDSEM imaging capabilities of the metal-oxide resist.

Acknowledgments

The authors would like to thank Chris Mack for the fruitful discussion J.S. is an SB PhD fellow at FWO (1SA8919N). The authors declare no conflict of interest.

References

1. 

W. Chang et al., “Characteristics and prevention of pattern collapse in EUV lithography,” Proc. SPIE, 6517 65172S (2007). https://doi.org/10.1117/12.712469 PSISDG 0277-786X Google Scholar

2. 

J. Van Schoot et al., “High-NA EUV lithography pushing the limits,” Proc. SPIE, 11177 111770B (2019). https://doi.org/10.1117/12.2536469 PSISDG 0277-786X Google Scholar

3. 

A. Pirati et al., “The future of EUV lithography: enabling Moore’s Law in the next decade,” Proc. SPIE, 10143 101430G (2017). https://doi.org/10.1117/12.2261079 PSISDG 0277-786X Google Scholar

4. 

D. De Simone and S. Decoster, “Photoresist readiness for N3/N2 double patterning in EUV lithography,” J. Photopolym. Sci. Technol., (2021). JSTEEW 0914-9244 Google Scholar

5. 

J. Severi et al., “Power spectral density as template for modeling a metal-oxide nanocluster resist to obtain accurate resist roughness profiles,” J. Micro/Nanopatterning Materi. Metrol., 20 (2), 024601 (2021). https://doi.org/10.1117/1.JMM.20.2.024601 Google Scholar

6. 

G. F. Lorusso et al., “The need for LWR metrology standardization: the IMEC roughness protocol,” Proc. SPIE, 10585 105850D (2018). https://doi.org/10.1117/12.2294617 PSISDG 0277-786X Google Scholar

7. 

G. F. Lorusso et al., “Unbiased roughness measurements: subtracting out SEM effects,” Microelectron. Eng., 190 33 –37 (2018). https://doi.org/10.1016/j.mee.2018.01.010 MIENEF 0167-9317 Google Scholar

8. 

C. Cutler et al., “Roughness power spectral density as a function of resist parameters and its impact through process,” Proc. SPIE, 10587 1058707 (2018). https://doi.org/10.1117/12.2297690 PSISDG 0277-786X Google Scholar

9. 

M. Neisser, “International roadmap for devices and systems lithography roadmap,” J. Micro/Nanopattern. Mater. Metrol., 20 (4), 044601 (2021). https://doi.org/10.1117/1.JMM.20.4.044601 Google Scholar

10. 

J. Severi, D. De Simone and S. De Gendt, “Dielectric response spectroscopy as means to investigate interfacial effects for ultra-thin film polymer-based high NA EUV lithography,” Polymers, 12 (12), 2971 (2020). https://doi.org/10.3390/polym12122971 Google Scholar

11. 

C. A. Mack and B. D. Bunday, “Analytical linescan model for SEM metrology,” Proc. SPIE, 9424 94240F (2015). https://doi.org/10.1117/12.2086119 PSISDG 0277-786X Google Scholar

12. 

C. A. Mack and B. D. Bunday, “Improvements to the analytical linescan model for SEM metrology,” Proc. SPIE, 9778 97780A (2016). https://doi.org/10.1117/12.2218443 PSISDG 0277-786X Google Scholar

Biography

Joren Severi received his MS degree in chemistry from KU Leuven University, Belgium. After this, he started his PhD in chemistry on the characterization of ultrathin films for high NA EUV lithography in a collaboration with KU Leuven University and the exploratory patterning group at imec.

Gian F. Lorusso received his PhD in solid state physics from the University of Bari, Italy, in 1992. He has been working on topics related to the semiconductor industry, such as metrology tool development, lithography, material analysis, and more. His domains of expertise include lithography, metrology, microscopy, and spectrometry. After working at the École Polytechnique Fédérale de Lausanne (Switzerland), the Center for X-ray Lithography (Wisconsin), the Center for X-ray Optics at Lawrence Berkeley National Laboratories (California), and KLA-Tencor (California), he joined IMEC (Belgium) in 2006. His work has produced more than 200 papers and 15 patents. He is working on extreme ultraviolet lithography and metrology, fields in which he started in the early nineties.

Danilo De Simone received his MS degree in chemistry from the University of Palermo (Italy) and he has 21 years of experience in the semiconductor field. He has been working for STMicroelectronics, Numonyx and Micron, leading the development of lithographic materials for 90 nm, 65 nm NOR flash devices and the 32 nm double patterning modules for 45 nm phase change memory technology. In 2013, he joined imec in Belgium, leading the exploration of photo materials for EUV lithography.

Alain Moussa received his bachelor’s degree in chemistry from the Haute École Léonard de Vinci of Bruxelles, Belgium, in 2000. He has worked at the Catholic University of Louvain-la-Neuve in research for polymer synthesis and thin film deposition on silicon, as well as for their characterization by XRR, AFM, ellipsometry, and SEM. In 2005, he joined IMEC, where he is working in the scanning probe microscopy domain, and, in the metrology group for lithography patterning and process control, as an R&D engineer.

Mohamed Saib received his PhD in optical metrology from the University of Basse Normandie, France, in 2007. In 2008, he joined Laboratoire des technologies de Microélectronique in Grenoble, where he has worked on interferometric lithography. Between 2011 and 2017, he worked at ASELTA nanographics in the field of electron beam lithography. Since 2017, he joined IMEC, where he is working in the metrology domain and massive data analysis.

Rutger Duflou received his MS degree in mathematical engineering and nanoscience engineering from KU Leuven University (Belgium). After this he started his PhD in ab-initio modeling of next-generation devices based on 2D materials in a collaboration with KU Leuven University and imec.

Stefan De Gendt received his PhD in chemistry in 1996 from the University of Antwerp. He joined imec in 1996. He is currently holding the position of scientific director at imec, in the area of exploratory materials, chemistry and physics (EMCP group), with emphasis on functional oxides and low dimensional materials. Since 2003, he has been associated with the KU Leuven Department of Chemistry as a part-time full professor. Currently, he serves as president of the Electrochemical Society.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Joren Severi, Gian F. Lorusso, Danilo De Simone, Alain Moussa, Mohamed Saib, Rutger Duflou, and Stefan De Gendt "Chemically amplified resist CDSEM metrology exploration for high NA EUV lithography," Journal of Micro/Nanopatterning, Materials, and Metrology 21(2), 021207 (5 April 2022). https://doi.org/10.1117/1.JMM.21.2.021207
Received: 19 October 2021; Accepted: 17 February 2022; Published: 5 April 2022
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Fourier transforms

Signal to noise ratio

Metrology

Optical lithography

Extreme ultraviolet lithography

Chemically amplified resists

Atomic force microscopy

Back to Top