Open Access
3 April 2023 Review of the key milestones in the development of critical dimension small angle x-ray scattering at National Institute of Standards and Technology
Author Affiliations +
Abstract

An x-ray scattering based metrology was conceived over 20 years ago as part of a collaboration between National Institute of Standards and Technology (NIST) and International Business Machines Corporation (IBM) to evaluate the performance of lithographic patterning materials for the semiconductor industry. This methodology treated a periodic array of lithographic structures as a diffraction grating and focused on extracting the physical dimensions of the structures in the grating by analyzing the diffraction patterns. In the early stages of the work the focus was on developing the transmission small-angle x-ray scattering (tSAXS) as a metrology tool to measure the critical dimensions (CD) of the lithographic features vital to the integrated circuit chip fabrication. Later, the focus shifted to include grazing incident small-angle x-ray scattering and x-ray reflectivity as parts of the CD metrology tool due to their unique capabilities. Frequently the term critical dimension small-angle x-ray scattering (CDSAXS) has been used as a synonym for the metrology of using tSAXS for CD measurements without mentioning transmission. Various milestones in the CDSAXS development are reviewed in this article together with some prospects regarding the future growth of x-ray-based metrology for complex three-dimensional nanostructures important to semiconductor industries.

1.

Introduction

Since 1912, x-rays have been used to determine the arrangement of atoms in crystals. Initially, measurements were focused on crystals formed from minerals, organic compounds, and have progressed today to include proteins and other complex biomolecular structures. X-ray diffraction has been credited as the primary tool to unravel the beauty and the symmetry of crystals. Since the year 2000, these x-ray diffraction methods have been adapted by scientists at the National Institute of Standards and Technology (NIST) as a quantitative tool for the characterization of nanoscale patterns patterned by semiconductor lithography. However, instead of determining the arrangement of individual atoms or molecules as in traditional x-ray diffraction, x-rays have been used to determine the shape and the structure of the constituent nanoscale entities. This type of x-ray diffraction application is not documented in any of the textbooks on x-ray diffraction, including the classic treatment of small angle x-ray scattering by Guinier.1

The need for the structural characterization of nanoscale structures is best illustrated by the semiconductor industry where the focus for several decades has been to continue shrinking the feature size in the integrated circuits; the critical dimension (CD) of device structures inside today’s computer chips is 5 to 7 nm and continues to decrease. This industry is constantly searching for new metrologies capable of a non-destructive evaluation of three-dimensional (3D) nanoscale patterns with a sub-nm precision for both developing new fabrication processes and for in-line fabrication monitoring. When the smallest, or “critical,” dimensions are <10  nm, the acceptable tolerance will be much <1  nm. These dimensions create significant challenges for incumbent metrologies based on scanning electron microscopy and optical scatterometry, especially for nanostructures with high aspect ratio (HAR) and/or with structures inside buried layers.2 Now, the number of layers in memory chips, such as 3D NAND, is well over 200. This provides an entrance point for the x-ray based metrology. X-rays are highly penetrating and can easily interrogate buried or embedded structures. The wavelength of x-rays is also sufficiently small, meaning the diffraction patterns can be analyzed by classic diffraction theories. And as the dimensions get smaller, approaching interatomic spacings, the utility of x-ray methods as structural probe increases. In this narrative, several of the key developments in the use of CD small angle x-ray scattering (CDSAXS) as a semiconductor metrology will be outlined.

CDSAXS made its first appearance in the 2007 International Technology Roadmap for Semiconductors roadmap as a candidate next generation CD metrology tool for future nodes.3 Fifteen years later, in-fab ready CDSAXS instrument have now been developed and are being offered by companies.4,5 But the development of the CDSAXS technique really started in 2000. Over the subsequent years, the NIST team worked closely with International Business Machines Corporation (IBM), Intel, SEMATECH, and other industrial partners in the semiconductor sector to help develop the CDSAXS technique. As this technology was in its infancy, the NIST team took a non-traditional technology transfer approach to developing CDSAXS. All of their developments were disseminated broadly and made available to the entire semiconductor ecosystem through publications, presentations, and active engagement with the SEMATECH consortium. NIST did not try to compete with industry and did not file a patent on their developments. NIST partnered closely with industry on solving the measurement challenges, but ultimately left the commercialization up to industry. Prior to the commercialization of the CDSAXS instruments that are available today, the pioneer role of NIST efforts has been acknowledged by experts in the field including W. Yun, the founder of Xradia and Sigray, in one of his US patents6 and Wormington et al. of Bruker.5

The biggest reasons why CDSAXS took over twenty years to enter the marketplace was the lack of laboratory-based x-ray sources with an adequate brightness. Synchrotron-based x-ray beam lines were used extensively for the CDSAXS development, but one could not readily build fab lines at synchrotron sources. In the 20 years that followed, the x-ray tool vendors across the board have made significant advances in the brightness of their sources. Technologies, such as improved microfocus rotating anodes or liquid metal jet anodes, have increased brightness by almost an order of magnitude. Most importantly, new HAR memory structures turned out to be the ideal CDSAXS sample. Over the last decade the memory industry has moved from planar architectures to vertically stacked structures, such as 3D NAND and DRAM. These architectures have deep 3D integration with hundreds of layers stacked vertically. These dense arrays of tall nanostructures give rise to orders of magnitude stronger scattering of x-rays and empower current laboratory x-ray sources to perform in-fab measurements in minutes. Continued development of high brightness, compact x-ray sources is needed to improve the throughput of CDSAXS measurements of 3D-NAND devices. Several orders of magnitude increase in brightness is needed to make CDSAXS viable for measuring logic structures such as finFETS and gate-all-around nanosheet transistors.7

2.

NIST Milestones in CDSAXS Development

Most of the CDSAXS development work pioneered at NIST has been carried out in a transmission mode, i.e., transmission small angle x-ray scattering (tSAXS) with a sufficiently short wavelength of x-ray to penetrate silicon wafers with a nominal thickness of 0.7 mm. Grazing incident small angle x-ray scattering (GISAXS) mode has also been developed for CD measurements by the NIST team.8 Comparing to the transmission mode or tSAXS, where the x-ray beam can be focused down to a sub 100  μm spot size, the sampling area required for GISAXS is significantly larger for a given incident x-ray beam size, often on the order of several square millimeters. This requires very large area periodic grating structures for quantitative measurements and constitute a severe limitation in many semiconductor industry applications. Reviews on the application of GISAXS for integrated circuit metrology have been published recently, for example, by Freychet et al.9 The scope of NIST efforts on CDSAXS encompasses not only tSAXS and GISAXS but also x-ray reflectivity (XRR). The unique capabilities of XRR in CD measurements over those of tSAXS and GISAXS will be discussed in the latter part of this manuscript.

The unique capabilities of tSAXS as a viable x-ray CD metrological tool were identified at the design phase of this project; they included high spatial reconstructed feature resolution in the sub-nanometer range due to the short wavelength of x-ray in the range around 0.1 nm, high penetration power of x-ray, hence, applicable for buried structures including those with a HAR10,11 and the simplicity in theoretical modelling and the reduction to real space structures from SAXS data. For the latter point, only Fourier transform/deconvolution needs to be invoked except in some cases of GISAXS. In GISAXS measurements, the incident /detection angles are often near or below the critical angle, which means that multiple scattering events become inevitable. This significantly complicates the quantitative analysis of GISAXS data.

The term “simplicity” used in the above paragraph merits further clarifications; it refers to the fact that Fourier transform is mathematically simple in comparison to the solving of Maxwell’s equations required for the data analysis in optical CD (OCD). It does not imply the inversion from scattering data to nano-structure parameters is simple, especially for complex nanostructures that involve a large number of parameters or have computationally intensive Fourier transforms. The inverse fitting also means that CDSAXS suffers from the uniqueness issue where multiple acceptable solutions can be found. Computationally this means that a large parameter space must be evaluated to determine how unique a given solution is and whether or not additional acceptable solutions exist. The uniqueness issue generally becomes more severe with models having more structure parameters and with reduced data signal to noise. In these cases, prior knowledge such as reference measurements are required to determine the correct solution. In the present narrative, CDSAXS was demonstrated using line gratings and only a few structure parameters were needed. Even in those cases the uniqueness issue still surfaced in the determination of the line width; there existed two possible answers to fit the CDSAXS data. This issue will be addressed in the latter part of this manuscript.

Most of the test structures for CDSAXS development work done at NIST were line-gratings provided by IBM, Shipley, Intel, SEMATECH, and others. The primary structural parameters of interests to semiconductor industries are line width, line height, sidewall angle, and sidewall roughness. All these parameters can be quantified with CDSAXS, most significantly is the fact that all these parameters for simple line gratings can be obtained from certain characteristics of the CDSAXS data without the shortcoming of parameter correlations. On the contrary, the value of line height is known to correlate with side wall angle in light scattering or OCD metrology when the line width is <30  nm.12

The CDSAXS project was initiated as a part of a joint NIST/IBM research project, initially supported by DARPA, on advanced lithograph materials. QH Lin was the IBM point of contact and a founding member of this collaborative efforts. The proof-of-concept experiments were carried out using neutron scattering instrument at NIST Center for Neutron Research using the readily available beam time.13,14 The first x-ray work was conducted at the ExxonMobil beamline of Argonne National Laboratory and the x-ray beam time was arranged by R. Kolb, an instrument scientist at Argonne while working for ExxonMobil. Our first x-ray measurement demonstrated successfully the viability of SAXS for CD measurements.15 These measurements showed that CDSAXS could be used to quantify the line height, line width and periodicity of the lithographically patterned structures.

After demonstrating the feasibility of CDSAXS using synchrotron x-ray source, the next important technical milestone was measuring the sidewall angle of the lithographic structures. This was accomplished by collecting multiple scattering patterns while tilting the sample relative to the incident beam. This created a single two-dimensional (2D) diffraction pattern with wave vectors in both the qx (line width direction) and qz (line height direction) direction. In a nutshell, this 2D scattering pattern is the 2D Fourier transform of the line shape profiles on the patterns in the x-z plane (discussed below), thereby establishing the side wall angle.16 It is noteworthy that measuring line width is non-trivial; Fig. 1(a) shows a scanning electron microscope (SEM) photo of a photoresist line grating with its repeat and line width denoted as d and w respectively. The corresponding SAXS result from a normal incidence is given in Fig. 1(b). The quantitative data of the SAXS intensities versus q are given in Fig. 2(a); q is the magnitude of the scattering vector with its usual definition as (4π/λ)sinθ. The value of repeat (d) can readily be determined with the space between the scattering peaks and the value of line width (w) can be determined with the undulation frequency of the peak intensities. However, the undulation can be fit equally well with the complementary value of d-w. Such a duality problem, i.e., the uniqueness issue mentioned before, is rather common in the application of scattering techniques as depicted in Fig. 2(b); a complementary pair of line gratings will result in the identical SAXS results. This is a well-known duality issue called Babinet’s theorem. This duality issue can be resolved via XRR, this will be discussed in the latter part of this manuscript. Many other metrology tools including transmission electron microscopy and SEM can also be applied to complement CDSAXS to resolve this duality issue.

Fig. 1

(a) A SEM image of a photoresist line grating with a nominal 240 nm repeat and (b) a corresponding SAXS results of the above grating recorded on a 2D detector; the incident X-ray is perpendicular to the sample surface.

JM3_22_3_031206_f001.png

Fig. 2

(a) Scattering intensity profile from Fig. 1(b) and the fit based on a rectangular cross-section with d=237  nm and w=109  nm. (b) The SAXS result given above can be fitted also with w=128  nm, this is because that the complementary pair given in panel (b) will lead to an identical SAXS results.

JM3_22_3_031206_f002.png

Going back to measuring sidewall angle, the essence of the solution is given in Fig. 3(a) where the cross-section of a line given in an x-z plane and its Fourier transform is given as a contour plot in a qx-qz plane. Throughout this manuscript the cross-section of line gratings is always laid on the x-z plane, the long axis of the line is aligned along the y-axis. The sidewall angle and the line height in the real space manifest themselves clearly in the Fourier space; the tSAXS data collected at multiple sample rotations along its y-axis is given in Fig. 3(b).16 The resemblance between the theoretical contour plot of Fig. 3(a) and the experimental one is astounding; the sidewall angle and the line height manifest themselves clearly in the composite tSAXS data. In addition, the signals corresponding to the repeat and the line width are also present in this figure i.e., the intensities along the qx axis at qz=0, similar to what given in Fig. 2(a). It is noteworthy that the scattering intensities recorded on a 2D detector with a normal incident beam are not exactly located at qz=0, especially for the region far from the incident beam. In a typical CDSAXS work all the data presented in a qz versus qx plot, e.g., Fig. 3(c), were fitted quantitatively with a given model iteratively until a reasonable convergence was reached. Fitted examples are given in Figs. 5 and 6.

Fig. 3

(a) A trapezoid with its height h and a sidewall angle beta; its cross-section is situated on the x-z plane; the amplitude of this trapezoid in Fourier space is given in a contour plot on the left. (b) A SEM top and a side view of a photoresist line gratings. (c) The corresponding CDSAXS result of the photoresist line gratings.

JM3_22_3_031206_f003.png

As mentioned, the predecessor of the first CDSAXS is a small angle neutron scattering (SANS) measurement on a photoresist line grating provided by IBM.13,14 The sidewall angle of the line gratings was not determined explicitly via SANS results; however, a quantitative analysis of the SANS data demonstrated undoubtedly the dependence of the scattering intensities on the incident angle related directly to the sidewall angle of the line grating.13

Another important milestone in developing CDSAXS was measuring line edge roughness. In a collaboration with the Advanced Metrology Advisory Group (AMAG) of SEMATECH line gratings samples with intentional periodic line edge roughness, line width roughness and a mix of these two were designed and fabricated. CDSAXS results clearly demonstrated that both the wavelength and the amplitude of these types of roughness can be quantified via CDSAXS.17,18 Measuring line edge and line width roughness represents the first application of CDSAXS to perform full 3D shape measurements of lithographic structures. Prior work on line-space gratings was confined to measure the cross-section of the lines on a x-z plane, line roughness exists along the length of the lines or the y-axis. Therefore, this line edge and line width roughness measurement represents the first CD measurements along all three dimensions on line-space gratings.

Another seminal advance was the quantification of the side wall roughness along the height direction in a photoresist line-space grating. A schematic drawing of this type of roughness and the corresponding CDSAXS result are given in Fig. 4. Again, both the wavelength and the amplitude of this vertical roughness at the sidewall were determined from the CDSAXS results.19 This type of standing wave roughness in the vertical direction is a common artifact if the antireflective coatings used in the lithographic processes are not functioning properly.20

Fig. 4

(a) Photoresist line gratings with a vertical sidewall roughness, likely caused by a defective antireflective coating application. (b) The corresponding CDSAXS pattern.

JM3_22_3_031206_f004.png

Another milestone was to demonstrate the capability of CDSAXS for characterizing nanostructures with HAR. It is this unique capability of CDSAXS on HAR structures enabling its application in multiple layers 3D NAND, DRAM, and deep vias/ holes. Figure 5 shows the CDSAXS pattern and fit for a representative HAR sample.

Fig. 5

(a) CDSAXS pattern and (b) cross-section of a HAR line gratings with its height of 432 nm, a base width of 62 nm, and a side wall angle of 87 deg. The CDSAXS data can be best fitted with six trapezoids and the composition of the fourth trapezoid is different. Numbers on plot are normalized.

JM3_22_3_031206_f005.png

One of the primary initial limitations of CDSAXS was that all of the initial work was done using a synchrotron. NIST conducted a series of collaborations with SEMATECH and Intel to demonstrate the feasibility of transferring CDSAXS to a lab-based system. We studied both the effect of signal to noise on the fit uncertainty and the amount of time required to measure various structures and materials.7,21 Figure 6 shows a study of comparing lab measurements to synchrotrons. The scattering strength depends on the square of the structure height and the square of the electron density of the material. High-z materials and really tall structures can scatter order of magnitude stronger than short, low-z materials. This property is what makes very tall structures like 3D NAND ideal for CDSAXS.

Fig. 6

(a) Comparison of tSAXS results at synchrotron (15 s, black, and solid line) and lab system (57600 s, red, and dashed line) showing difference in signal to noise and resolution. Fractional peaks are superlattice peaks from the multiple patterning processes. (b) Comparison of the uncertainty of synchrotron fits for different exposure times. Inner and outer edges for the 95% confidence intervals for 0.1 s (dotted line), 2 s (dashed line), and 20 s (solid line). Reprinted from: Ref. 21.

JM3_22_3_031206_f006.png

GISAXS measurements were also taken from this HAR line-space grating and the GISAXS results taken at grazing incident angles 0.105 deg and 0.260 deg are given in Figs. 7(a) and 7(b), respectively. By compiling GISAXS results taken at multiple incident angles, defined as the angle between the incident beam and the y-axis or the line direction, a composite GISAXS result on a qx-qz space was obtained and shown as Fig. 8(a). By superimposing this GISAXS composite result with that from tSAXS, also given on a qx-qz coordinate, the combined tSAXS and GISAXS is given as Fig. 8(b). It is obvious that both GISAXS and tSAXS explore the same set of data but in somewhat different q space. This difference in the q domains explored by these two methods comes from a simple geometric cause. An identical x-ray instrument was used to collect these two sets of data from this HAR sample. The shape of the scattering spots of GISAXS seems to be elongated along the qz direction, presumably from the shape of the footprint under grazing incident illumination. An elongated footprint in GISAXS measurements necessitates an increase in the test pattern area which imposes some difficulties in semiconductor logic applications, on the other hand, it also results in an increase of the scattering signal intensity.

Fig. 7

GISAXS results of the HAR line gratings shown in Fig. 5 collected at incident angles of (θin = (a) 0.105 deg and (b) 0.260 deg respectively for the above and lower panels.

JM3_22_3_031206_f007.png

Fig. 8

(a) By compiling GISAXS results from the HAR line gratings obtained at multiple incident angles via a procedure similar to that used for constructing tSAXS images, a composite GISAXS result was created. (b) Combining the CDSAXS result given in Fig. 5 and the GISAXS results given in panel (a), it becomes clear that CDSAXS and GISAXS carry complementary information in different qx-qz map.

JM3_22_3_031206_f008.png

Another important nanostructure characterized successfully by tSAXS includes line-space gratings with a nonplanar, conformal thin coating with a thickness of a few nanometers. Coatings of such high K gate oxides are often used in high performance logic devices. The thickness of the thin conformal coating can be readily determined from the lower frequency undulations superimposed on the highly periodic scattering peaks; the low frequency undulations directly reveal the thickness of the thin coating.22 It is noteworthy that the high K coating in the abovementioned test samples is a HfO2 layer which possesses a significantly higher electron density than the silicon fins or lines. This large difference in the electron density between the high K coating and the fin leads to a higher scattering contrast in x-ray scattering and increased sensitivity to the coating thickness.

All the GISAXS results mentioned in this work thus far were within the context of the zeroth order Born approximation. This approximation assumes that each x-ray is only scattered once, which is statistically true for small samples without a reflective surface nearby. A sample is deemed as small when the incident beam pathlength within the sample is limited such that the chance for the scattered beam to be scattered again is slim. For polymers this length is typical in few millimeters, the exact value depends on the scattering cross section of the materials in question. Off specular scattering and multiple scattering contributions, which frequently manifest themselves in GISAXS where the pathlength of the x-rays through the sample are quite large in addition to be in the vicinity of a reflective substrate, have been left out due to the difficulties in the quantitative deconvolution of these types of data. The highly quoted algorithm by Sinha et al.,23 termed the distorted wave Born approximation (DWBA), invokes a virtual flat surface as the reference state. However, the position choice of the reference surface greatly affects the outcome and there is no obvious choice of the position of this flat reference surface for any of the nanostructures encountered in today’s semiconductor fabrication. To address this apparent shortcoming Wu proposed the use of the density depth profile derived from specular XRR, in lieu of a flat surface, as the reference state.24,25 The wavefunctions at any given depth of the sample are the byproduct of the XRR fit and adopted in the calculation/ modeling of the off-specular and multiscattering events. Even with this upgrading the theoretical foundation is far from being complete for a quantitative GISAXS data analysis whenever either the incident or the scattering directions are near or below the critical angle of the reference surface. This is because neither the DWBA nor the revised one by Wu can result in a unitary scattering formula.

2.1.

3D Measurements using CDSAXS

CDSAXS is a 3D metrology tool by virtue of its penetration power of the X-ray wavelength used. Most of the nanostructures included in the early stage of CDSAXS development were line-space gratings and the information of interests existed on the x-z plane, i.e., the cross-section plane of the lines. Line gratings are 3D objects; however, often the only meaningful parameters to characterize line-space gratings are the cross-sectional ones i.e., on a 2D plane. The only exception is line-space gratings with line edge or line width roughness as being discussed earlier. The rotation axis used to generate CDSAXS data on the qx-qz plane is the y-axis or the axis along the line direction. For 3D measurements on nanostructures where structural variations exist also along all three axes, data acquired with additional rotational axes becomes useful. An obvious example is a sample with multiple layer architecture; the test sample is a typical 2D array of pillars with structural variations along all three directions within each pillar. The tSAXS results from such type of samples under normal incident condition will not be a single array of scattering peaks as shown in Fig. 1(b). Instead, the tSAXS results will change to what similar to Fig. 5 where the scattering peaks distribute as a 2D array instead of a 1D line. Collecting tSAXS data with a sample rotation along different axes can provide a SAXS intensity map in different qx-qy-qz domains. Data from 3D q space can then be deconvoluted to provide 3D structural information in a real space. tSAXS result given in Fig. 9 is from rectangularly packed contact holes and allowed the determination of the 3D structure of the contact hole.26 The 3D dimensional sensitivity of CDSAXS allows the tilt of the hole axes and/or the distortion of the hole cross-section away from an ideal circular cross-section to be determined. The current primary commercial application for CDSAXS is 3D nanostructure characterization including the tilt angle of contact holes in 3D NAND.2729

Fig. 9

CDSAXS measurement of a block copolymer used for contact hole shrink. (a) Diagram of measurement and single 2D scattering pattern. (b) Diagram of conical section used for 3D shape fit. (c) Slice through resulting CDSAXS conical fit showing block copolymer (polystyrene) shrinking the contact hole. RH = residual height, SH = styrene height, SOC = spin on carbon, and ARC = anti-reflective coating. Reprinted from Ref. 26.

JM3_22_3_031206_f009.png

All the above-mentioned technical capabilities of CDSAXS can be carried out with the laboratory-based instrument in NIST, albeit, with a measurement time exceeding that with synchrotron sources by several orders of magnitude.

2.2.

X-ray Reflectivity as a CDSAXS Tool

Now let us return to the Babinet’s duality issue exemplified by Fig. 2(b), where the upper and lower cross-section patterns are complementary to each other. The Fourier transform of these two objects will yield an identical result in terms of its amplitude. However, the electron density profile in the z (height) direction of these two patterns is very different. Since XRR is a measure of the depth profile of surface in terms of their electron density, for patterned surfaces what XRR measures is the electron density averaged over the lateral directions. This is an example of effectively medium approximation (EMA) since in XRR the electron density is directly related to the refractive index. The laterally averaged electron density depth profiles of the complementary pair given in Fig. 2(b) are different and hence, XRR is capable to discern this difference. This makes XRR a viable tool to address this Babinet’s duality issue.

The viability of using XRR for measuring CD was first demonstrated on nanoimprint films and on the corresponding molds by Lee et al.30 Figure 10(a) illustrates a cross-section of a nanoimprinted line grating with an apparent residual layer and the projected electron density of the nanoimprinted layer, the residual layer, and the silicon substrate. The corresponding SEM photo is given at the lower panel. The XRR result, its fit, and the electron density depth profile are given in Fig. 10(b). The fit between the data and the theoretical modeling result based on the electron density depth profile is remarkable; all three prominent critical angles in the XRR data are fitted nicely, as highlighted in the inset, and they correspond to the projected or laterally averaged electron density of the nanoimprinted layer, the residual layer, and the silicon substrate. The line height and residual layer thickness are found to be 139 and 172 nm respectively; these values are inconsistent with what given in the SEM photos [Fig. 10(a)]. The depth dimension calculated from XRR is highly reliable whereas that from SEM taken from the cross-section of soft materials can be flawed due to difficulties encountered in SEM cross-section sample preparation and others. The physical significance of the shape details of the electron density depth profile merits some discussions. The slope of electron density in the imprinted region, i.e., between about 20 to 160 nm, can be reduced to the sidewall angle of the imprinted lines; the shape of the top region, i.e., from 0 to about 20 nm reflects quantitatively the surface roughness and the top rounding of the imprint lines, the shape detail between about 160 to 180 nm reflects quantitatively the bottom footing between the lines and the residual layer. All the important quantities needed to specify the cross-section of line gratings including sidewall angle, top rounding, bottom footing, line height and line width can all be determined based on this type of the density depth profile given in Fig. 10(b). However, one of the lateral dimensions has to be a given, for example, the repeat of the line gratings. The CD results reduced from XRR is found to be consistent with those from the tSAXS or CDSAXS measurements taken from the identical sample.

Fig. 10

(a) a cross-sectional SEM photo of a nanoimprint line grating with 122-nm residual layer, a schematic presentation of the nano-imprinted film is given above together with its effective medium approximation (EMA) depth profile. (b) X-ray reflectivity result and its theoretical fit and the resulted depth profile. Three critical angles are clearly visible and they correspond to that of the imprinted structure, the residual layer, and the silicon substrate.

JM3_22_3_031206_f010.png

A question that directly follows the application of XRR for patterned surfaces is the upper limit of the lateral distance over which the electron density can be included for averaging. Taking line gratings as an example, there must exist a maximum repeat distance between lines over which EMA will fail and XRR will not yield a meaningful result. This distance limit has been identified31 as an effective lateral coherence length, ξ2/sinθi, where ξ2 is the coherence length of the incident beam normal to the incident direction and on the reflection plane. Its value is typically dictated by the slit opening in XRR setup where slits are used as the incident beam collimator. θi is the grazing incident angle and effective lateral coherence length will decrease as the grazing incident angle increases during a XRR scan. The existence of an effective lateral coherence length also dictates that the preferred orientation of line gratings for XRR measurements is to orient the lines perpendicular to the incident beam.

One of the major outreach platforms to introduce CDSAXS to semiconductor industries was the annual AMAG conference held by of SEMATECH and CDSAXS was presented uninterruptedly from 2003 to 2010. By 2010, CDSAXS was adopted by AMAG as one of two potential solutions to address the future challenges facing by semiconductor industries.32 In 2016, NIST hosted a widely attended short course on CDSAXS covering instrumentation, measurements, and data fitting. Video tutorials from the short course are available online and have been widely viewed.33

3.

Prospects for CDSAXS

The high spatial resolution of the reconstructed features sizes coupled with the penetration power of x-ray enables the use of CDSAXS for HAR structures such as deep vias, tall pillars with 3D internal structures and buried structures. With x-ray source currently available in the market CDSAXS can be applied to memory chips fab control. With future development of high brightness laboratory-based x-ray sources the application is expected to extend to logic chips with complex integrated 3D architectures.

For 3D NAND and DRAM structures, a further increase in the number of layers beyond the current value will result in higher scattering intensity until the sample height reaches the effective longitudinal coherence length of the incident beam. It is noteworthy that the effective coherence length is not just a measure of the specular purity of the incident x-ray and it also depends strongly on the scattering angle.34

Acknowledgments

CDSAXS was a part of a joint effort between NIST and IBM on lithography materials, QH Lin was the initiating member from IBM side. This joint work was partially funded by a Lithography Project of DARPA for two years. Test samples used in our x-ray measurements were provided by IBM, Shipley Corp., a major US lithography materials company, Intel and the AMAG of SEMATECH. B. Bunday and A. C. Diebold, both formerly of SEMATECH, provided invaluable help in test sample design, sample fabrications as well as the opportunities for the authors to disseminate CDSAXS work to appropriate audiences. After the first 2 years funding for CDSAXS was provided by S. Knight, the director of NIST Office of Microelectronics Program for many years. With his support a laboratory CDSAXS instrument was set up within NIST with the help of S. Barton at Molecular Metrology. Years later our lithographic materials/ CDSAXS project received support from Intel’s Component Research located at Hillsboro Oregon. As a part of this collaboration Kwon-Woo Choi (KWC), an Intel scientist, was assigned to station at NIST as a visiting scientist from 2005 to 2009 to facilitate the research and the communication. Our CDSAXS project benefitted greatly with the addition of KWC. J. S. Clarke and B. J. Rice, both of Intel, also worked closely with us on the CDSAXS project during this time period between 2005 and 2009. After his retirement from NIST in 2013, the author (WW) continued working on CDSAXS-related topics with the support of Wei-En Fu of the Center for Measurement Standards in the Industrial Technology and Research Institute of Taiwan. The major focuses were on the amplification of the scattering signal of CDSAXS as well as other x-ray metrologies; the outputs are not covered in this narrative.

References

1. 

A. Guinier, “X-ray diffraction in crystals, imperfect crystals, and amorphous bodies,” (1963). Google Scholar

2. 

K. Sasaki et al., “Measurability analysis of the HAR structure in 3D memory by T-SAXS simulation,” Proc. SPIE, 11611 116110U https://doi.org/10.1117/12.2582070 PSISDG 0277-786X (2021). Google Scholar

3. 

ITRS, International Technology Roadmap for Semiconductors, Metrology, (2008). Google Scholar

4. 

M. Fan et al., “High resolution profiles of 3D NAND pillars using x-ray scattering metrology,” Proc. SPIE, 11611 116110S https://doi.org/10.1117/12.2585217 PSISDG 0277-786X (2021). Google Scholar

5. 

M. Wormington et al., “X-ray critical dimension metrology solution for high aspect ratio semiconductor structures,” Proc. SPIE, 11611 116110W https://doi.org/10.1117/12.2583966 PSISDG 0277-786X (2021). Google Scholar

6. 

Xradia, Inc., “CD-GISAXS system and methods,” US 7,920,676 B2 (2011).

7. 

R. J. Kline et al., “X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices,” J. Micro/Nanolith. MEMS MOEMS, 16 (1), 014001 https://doi.org/10.1117/1.JMM.16.1.014001 (2017). Google Scholar

8. 

J. Wernecke et al., “Traceable GISAXS measurements for pitch determination of a 25 nm self-assembled polymer grating,” J. Appl. Crystallogr., 47 (6), 1912 –1920 https://doi.org/10.1107/S1600576714021050 JACGAR 0021-8898 (2014). Google Scholar

9. 

G. Freychet et al., “Critical-dimension grazing incidence small angle x-ray scattering,” Proc. SPIE, 10585 1058512 https://doi.org/10.1117/12.2297518 PSISDG 0277-786X (2018). Google Scholar

10. 

R. L. Jones et al., “Cross sectional measurements of dense high aspect ratio patterns using CDSAXS,” Proc. SPIE, 5752 https://doi.org/10.1117/12.600290 PSISDG 0277-786X (2005). Google Scholar

11. 

R. L. Jones et al., “Cross section and critical dimension metrology in dense high aspect ratio patterns with CD‐SAXS,” AIP Conf. Proc., 788 (1), 403 –406 https://doi.org/10.1063/1.2062994 APCPCS 0094-243X (2005). Google Scholar

12. 

R. Silver et al., “Fundamental limits of optical critical dimension metrology: a simulation study,” Proc. SPIE, 6518 65180U https://doi.org/10.1117/12.716604 PSISDG 0277-786X (2007). Google Scholar

13. 

W. L. Wu et al., “Small angle neutron scattering measurements of nanoscale lithographic features,” J. Appl. Phys., 88 7298 https://doi.org/10.1063/1.1324688 JAPIAU 0021-8979 (2000). Google Scholar

14. 

E. K. Lin et al., “Feature-shape and line-edge roughness measurement of deep submicron lithographic structures using small-angle neutron scattering,” Proc. SPIE, 4344 https://doi.org/10.1117/12.436767 PSISDG 0277-786X (2001). Google Scholar

15. 

R. L. Jones et al., “Small angle x-ray scattering for sub-100 nm pattern characterization,” Appl. Phys. Lett., 83 (19), 4059 –4061 https://doi.org/10.1063/1.1622793 APPLAB 0003-6951 (2003). Google Scholar

16. 

T. J. Hu et al., “Small angle x-ray scattering metrology for sidewall angle and cross section of nanometer scale line gratings,” J. Appl. Phys., 96 (4), 1983 –1987 https://doi.org/10.1063/1.1773376 JAPIAU 0021-8979 (2004). Google Scholar

17. 

C. Q. Wang et al., “Characterization of correlated line edge roughness of nanoscale line gratings using small angle x-ray scattering,” J. Appl. Phys., 102 (2), 024901 https://doi.org/10.1063/1.2753588 JAPIAU 0021-8979 (2007). Google Scholar

18. 

C. Q. Wang et al., “Line edge roughness characterization of sub-50 nm structures using CDSAXS: round-robin benchmark results,” Proc. SPIE, 6518 65181O https://doi.org/10.1117/12.725380 PSISDG 0277-786X (2007). Google Scholar

19. 

C. Q. Wang et al., “Small angle x-ray scattering measurements of lithographic patterns with sidewall roughness from vertical standing waves,” Appl. Phys. Lett., 90 (19), 193122 https://doi.org/10.1063/1.2737399 APPLAB 0003-6951 (2007). Google Scholar

20. 

C. A. Mack, Fundamental Principles of Optical Lithography, Wiley( (2007). Google Scholar

21. 

D. F. Sunday et al., “Evaluation of the effect of data quality on the profile uncertainty of critical dimension small angle x-ray scattering,” J. Micro/Nanolith. MEMS MOEMS, 15 (1), 014001 https://doi.org/10.1117/1.JMM.15.1.014001 (2016). Google Scholar

22. 

C. Q. Wang et al., “Nonplanar high-k dielectric thickness measurements using CDSAXS,” Proc. SPIE, 7272 72722M https://doi.org/10.1117/12.813757 PSISDG 0277-786X (2009). Google Scholar

23. 

S. K. Sinha et al., “X-ray and neutron scattering from rough surfaces,” Phys. Rev. B, 38 2297 https://doi.org/10.1103/PhysRevB.38.2297 (1988). Google Scholar

24. 

W. L. Wu, “Off‐specular reflection from flat interfaces with density or compositional fluctuations,” J. Chem. Phys., 98 (2), 1687 https://doi.org/10.1063/1.464284 JCPSA6 0021-9606 (1993). Google Scholar

25. 

W. L. Wu, “Off-specular reflection from flat interfaces,” J. Chem. Phys., 101 (5), 4198 https://doi.org/10.1063/1.468464 JCPSA6 0021-9606 (1994). Google Scholar

26. 

D. F. Sunday et al., “X-ray characterization of contact holes for block copolymer lithography,” J. Appl. Cryst., 52 106 –114 https://doi.org/10.1107/S1600576718017272 JACGAR 0021-8898 (2019). Google Scholar

27. 

J. Madsen et al., “Driving in-fab high aspect ratio memory solutions with CDSAXS,” in Int. Conf. Front. of Characterization and Metrol. for Nanoelectron., (2022). Google Scholar

28. 

J. van der Meer, “Latest developments in x-ray metrology for semiconductor structures,” in Int. Conf. Front. of Characterization and Metrol. for Nanoelectron., (2022). Google Scholar

29. 

W. D. Thompson et al., “Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering,” (2021). Google Scholar

30. 

H. J. Lee et al., “Nanoimprint pattern transfer quality from specular x-ray reflectivity,” Appl. Phys. Lett., 87 (26), 263111 https://doi.org/10.1063/1.2158512 APPLAB 0003-6951 (2005). Google Scholar

31. 

H. J. Lee, C. L. Soles and W. L. Wu, “Determining coherence length of x-ray beam using line grating structures,” ECS Trans., 34 931 –936 https://doi.org/10.1149/1.3567694 1938-5862 (2011). Google Scholar

32. 

B. L. Thiel et al., “Advances in CD‐metrology (CD‐SAXS, Mueller matrix based scatterometry, and SEM),” AIP Conf. Proc., 1395 298 https://doi.org/10.1063/1.3657906 APCPCS 0094-243X (). Google Scholar

33. 

D. F. Sunday et al., “X-ray metrology for the semiconductor industry tutorial,” J. Res. Natl. Inst. Stand. Technol., 124 1 –3 https://doi.org/10.6028/jres.124.003 JRITEF 1044-677X (2019). Google Scholar

34. 

C. T. Liu et al., “Measurements of an effective longitudinal coherence length in transmission small angle x-ray scatterings,” Nanomaterials, 10 (8), 1549 https://doi.org/10.3390/nano10081549 (2020). Google Scholar

Biographies of the authors are not available.

© 2023 Society of Photo-Optical Instrumentation Engineers (SPIE)
Wen-li Wu, R. Joseph Kline, Ronald L. Jones, Hae-Jeong Lee, Eric K. Lin, Daniel F. Sunday, Chengqing Wang, Tengjiao Hu, and Christopher L. Soles "Review of the key milestones in the development of critical dimension small angle x-ray scattering at National Institute of Standards and Technology," Journal of Micro/Nanopatterning, Materials, and Metrology 22(3), 031206 (3 April 2023). https://doi.org/10.1117/1.JMM.22.3.031206
Received: 23 December 2022; Accepted: 6 March 2023; Published: 3 April 2023
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
X-rays

Scattering

Metrology

Semiconductors

Critical dimension metrology

Industry

Lithography

Back to Top