Presentation + Paper
27 March 2017 Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure
Author Affiliations +
Abstract
A new type of Photosensitized Chemically Amplified Resist (PSCAR) **: “PSCAR 2.0,” is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a “photo acid generator which can be photosensitized” (PS-PAG), a “photo decomposable base (quencher) which can be photosensitized” (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML’s NXE:3300 with TEL’s standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Seiji Nagahara, Michael Carcasi, Gosuke Shiraishi, Hisashi Nakagawa, Satoshi Dei, Takahiro Shiozawa, Kathleen Nafus, Danilo De Simone, Geert Vandenberghe, Hans-Jürgen Stock, Bernd Küchler, Masafumi Hori, Takehiko Naruoka, Tomoki Nagai, Yukie Minekawa, Tomohiro Iseki, Yoshihiro Kondo, Kosuke Yoshihara, Yuya Kamei, Masaru Tomono, Ryo Shimada, Serge Biesemans, Hideo Nakashima, Philippe Foubert, Elizabeth Buitrago, Michaela Vockenhuber, Yasin Ekinci, Akihiro Oshima, and Seiichi Tagawa "Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure", Proc. SPIE 10146, Advances in Patterning Materials and Processes XXXIV, 101460G (27 March 2017); https://doi.org/10.1117/12.2258217
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Floods

Extreme ultraviolet lithography

Picosecond phenomena

Ultraviolet radiation

Line width roughness

Polymers

Extreme ultraviolet

Back to Top