Paper
17 October 2008 Effects of photo resist erosion in development on critical dimension performance for 45nm node and below
Guen-Ho Hwang, Dong-Hyun Kim, Chu-bong Yu, Byeng-Sun Kang, Ik-Boum Hur, Cheol Shin, Sung-Mo Jung, Sang-Soo Choi
Author Affiliations +
Abstract
In previous study, it has been reported that photo resist erosion after development gets severe as patterns size decreases. The 60nm feature requiring for SRAF(Sub Resolution Assistant Feature) of 45nm technology node, the photo resist erosion after develop on unexposed area was almost 400Å. It will be a serious problem to degrade not only the resist thickness margin for thinner resist to enhance resolution and pattern collapse, but also CD(Critical Dimension) performance capability such as CD linearity and SRAF resolution capability by proceeding dry etching. In this paper, the effects of photo resist erosion by pattern size on CD linearity performance were studied. The photo resist erosion by pattern size was simulated with the Gaussian blur model before dry etching. The effects of dosage, PEB(Post Exposure Bake) temperature and development conditions were evaluated to reduce blur value before dry etching.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Guen-Ho Hwang, Dong-Hyun Kim, Chu-bong Yu, Byeng-Sun Kang, Ik-Boum Hur, Cheol Shin, Sung-Mo Jung, and Sang-Soo Choi "Effects of photo resist erosion in development on critical dimension performance for 45nm node and below", Proc. SPIE 7122, Photomask Technology 2008, 71223A (17 October 2008); https://doi.org/10.1117/12.802741
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Dry etching

Critical dimension metrology

Scanning electron microscopy

Photomasks

Resolution enhancement technologies

SRAF

Backscatter

Back to Top