Open Access
22 March 2023 Inline metrology of high aspect ratio hole tilt and center line shift using small-angle x-ray scattering
Author Affiliations +
Abstract

High aspect ratio (HAR) structures found in three-dimensional nand memory structures have unique process control challenges. The etch used to fabricate channel holes several microns deep with aspect ratios beyond 50:1 is a particularly challenging process that requires exquisitely accurate and precise control. It is critical to carefully analyze multiple aspects of the etch process, such as hole profile, tilt, uniformity, and quality during development and production. X-ray critical dimension (XCD) metrology, which is also known as critical dimension small-angle x-ray scattering, is a powerful technique that can provide valuable insights on the arrangement, shape, and size of periodic arrays of HAR features. XCD is capable of fast, non-destructive measurements in the cell-area of production wafers, making XCD ideal for in-line metrology. Through several case studies, we will show that XCD can be used to accurately and precisely determine key properties of holes etched into hard mask, multilayer oxide/nitride film stacks and slit trenches. We show that the measurement of hole and slit tilt can be achieved without the aid of a structural model using a Fast Tilt methodology that provides sub-nanometer precision. Measurements were performed across several production wafers to determine the etch uniformity and quality. Particular attention was given at the edge of the wafers to account for large variations observed. In addition, we used a detailed physical model to characterize the HAR structures beyond linear tilt. This approach provides a more complete picture of the etch quality.

1.

Introduction

The etching of extremely high aspect ratio (HAR) features are critical and challenging process steps in modern memory technologies that significantly impact device performance and yield. These HAR features include the channel holes and slit trenches in three-dimensional (3D)-nand flash as well as the capacitor holes in DRAM. In particular, the continued vertical scaling of 3D-nand to further increase the storage density means that the aspect ratio of the channel holes with depths>5  μm and diameter 100  nm are more than 50:1 (aspect ratio is the ratio height: diameter). Furthermore, these challenges will evolve with the increasing number of layers in the oxide/nitride (ON) stack as well as using multiple tiers, in terms of the increased aspect ratios and significant bow and warping of the wafers due to increasing stresses. As such, it becomes increasingly more difficult and yet ever more important to carefully characterize the etch process and quality during development and monitor during manufacturing.

There are many parameters used to characterize and control the etch processes in 3D-nand fabrication. These include the shape, size, and position of the holes as a function of depth as well as the hole-to-hole uniformity both locally and across the surface of the 300-mm wafers. In this work, we will focus on the metrology of the average orientation (tilt) of the holes and slits with respect to the surface of the wafers. The magnitude and direction of the tilt across a wafer has been shown to be highly sensitive to etch parameters such as the erosion of the edge ring, which can strongly impact the tilt near the edge of the wafer.1

X-ray critical dimension (XCD) metrology is a relatively new technique that that can provide valuable information on HAR structures and can be used to characterize the shape, size, and orientation of periodic arrays of holes and has been applied to both 3D-nand and DRAM structures. The transmission critical dimension small-angle x-ray scattering (CD-SAXS) technique employs a collimated, high energy (E>15  keV) x-ray beam incident and transmitted through the entire thickness of a specimen (coupon or wafer) and scattering from a periodic array (grating) of features on one side of the specimen. The technique was first proposed and demonstrated in a series of papers by Wen-Li Wu’s group at NIST28 with development activities continued by Joe Kline and Dan Sunday in recent years.912

To determine the size and shape of the holes in an array, a physical model and x-ray scattering simulation must be used. The principles and theory of the simulation of XCD scattering patterns has been presented in the literature mainly as it relates to the analysis of low/medium-aspect ratio structures and mainly one-dimensional (1D) arrays of lines applicable to logic structures such as fins and similar structures.46,9,1316 The treatment for high aspect ratio structures including two dimensional (2D) arrays of holes and 1D trenches encountered in advanced memory applications in 3D-nand and a DRAM memory has received relatively less attention.1620 To date, however, it is only these latter structures that are suitable for measurement with XCD within fabs using available compact x-ray sources suitable for inline metrology rather than R&D measurements at national synchrotron facilities.11

Alternatively, some critical parameters such as the average tilt of the holes and slits, as well as the etch quality can be accurately and precisely determined directly without calibration or sophisticated modeling. This technique is non-destructive and does not make any physical contact with the sample, thus it can be applied to the actual device area and not limited to scribe line pads. Moreover, the tilt method is easy to use and fast compared to destructive techniques, making XCD ideal for characterization during development and in-line metrology for high-volume manufacturing. We will refer to this method as the “Fast Tilt” approach.

The model-free Fast Tilt technique can be used alone, as an alignment step for model-based XCD analysis, or as part of a hybrid metrology scheme to train machine learning-based models needed to calibrate optical critical dimension (OCD) metrology. The average tilt description characterizes the linear component of the line passing through the center of the hole (centerline), as a function of its depth. While the model-free tilt approach is very valuable, many additional parameters can be characterized using physical modeling including the non-linear variation of centerline shift (CLS) and CD with depth. To achieve this, simulations of the scattered intensity distribution from a known structure at multiple angles of incidence are necessary to extend the capabilities to characterize the structure of the HAR features in real space.17

2.

System and Methods

2.1.

Principles

The interaction and scattering of hard x-rays is relatively weak and so kinematical theory (Born approximation) can be used to simulate the scattered intensity distribution from a given structure. Here we will present a summary of key aspects of the theory as applied to CD-SAXS.

A periodic 2D array of identical strictures may be written in terms of the electron density distribution ρ(r)

Eq. (1)

ρ(r)=Ω(r)*u=0Nx1v=0Ny1δ(xua)δ(yvb),
where Ω(r) is a 3D function that represents the electron density distribution within the unit cell of the structure and the double summation is the 2D lattice function that describes the position of each unit cell within the array. This expression can be applied to all structures including complex and hexagonal grating structures found in memory devices with an appropriate specification of the unit cell Ω(r). The summation in the x- and y-directions are taken over the number of units cells that are coherently illuminated by the x-ray beam. The coherence length of a typical lab/fab setup will be ∼ few μm in the xy-plane and several tens of micrometers in the z-direction. The unit cell for a simple hexagonal array of HAR holes is modeled within this formulation using a non-primitive unit cell containing two appropriately located but otherwise identical holes.

In the Born approximation, the complex amplitude A(q) of the coherently scattered x-ray field from an object is given by the 3D spatial Fourier transform of the electron density function, which can be expressed in the form

Eq. (2)

A(q)=F(q)S(q).

Here, F(q) is the form factor, which depends on the materials and shape of the unit cell and is given as

Eq. (3)

F(q)=VΩ(r)exp(iq·r).

The convolution term in the Eq. (1) becomes a multiplication as a result of the properties of the Fourier transform and the summation yields the structure factor S(q) where

Eq. (4)

S(q)u=0Nx1v=0Ny1exp[i(qxua+qyvb)].

The vector q defines the scattering vector which is related to the vector difference between the scattered k and incident k wave vectors, respectively, i.e., q=kk. Here, the wavevectors are in the direction of the scattered and incident x-ray beams and have the same magnitude k=2π/λ due to elastic scattering of the x-rays with wavelength λ.

In an x-ray scattering experiment, the intensity is measured as a function of q where the scattering vector depends on the relative orientation of the structure to the incident x-ray beam and the direction of the radiation at each pixel in a 2D photon counting detector. In the system described here, the wafer is rotated about the x- and y-axes to vary q and probe the structure in three dimensions. For a beam with incident intensity I0, the coherently scattered intensity Icoh.(q) from the array is related to the scattered amplitude by the following relationship

Eq. (5)

Icoh.(q)=I0[A(q)]2.

Finally, the model is completed by considering the non-idealities of the tool and sample by convolving the coherent intensity by an instrumental function G(q) that accounts for the finite divergence of the instrument and a background term Ib(q) that accounts for various incoherent sources of intensity, e.g., slit scatter and disorder in the array such that

Eq. (6)

I(q)=I0G(q)*[|F(q)|2|S(q)|2]+Ib(q).

In most of the simulations described in the literature, the unit cell electron density function Ω(r) is described using a stack of simple primitive shapes with known form factors and summing with respect to the position of the shapes in the stack. While this works well for cases such as multi-trapezoidal fins9,10,16 a different approach is needed for complex HAR structures that cannot be described by a combination of trapezoids, cones or other simple shapes. In the present work, the unit cell is decomposed into a stack of arbitrary polyhedra of uniform electron density and the shape of the features with depth is described by a flexible parametric equation such as a B-spline.

2.2.

XCD and Fast Tilt Technique

In this section, we will briefly describe the Bruker Sirius-XCD inline x-ray metrology tool and introduce the Fast Tilt method that has been developed to determine the average tilt within HAR structures. Additionally, we will briefly describe several complementary techniques that were also used to measure the tilt in various 3D-nand samples.

A schematic of the x-ray scattering geometry including the key components of the tool and measurement axes are shown in Fig. 1. The tool uses a high-brightness rotating anode x-ray source fitted with a Mo anode and multilayer mirror to produce a monochromatic beam that is incident on the backside of samples that include coupons and full 300-mm wafers. The size and angular resolution of the beam can be set under recipe control to optimize the beam for specific applications and structures. The typical beam size used for on-device Fast Tilt measurement is 200×200  μm, but this can be reduced under recipe control for different applications. The beam travels from the bottom of the tool to the top where a high-performance 2D detector fitted with a CdTe sensor measures the intensity distribution of the scattered x-rays. The measurements are done in a transmission geometry with the sample horizontally mounted between the source and detector. The sample to detector distance is in the range of 1 to 1.5 m. The angle between the x-ray beam and the normal to the horizontal axis of the tool is accurately calibrated using calibrated samples. An optical surface alignment (OSA) system consisting of a laser and specialized position sensitive detector is utilized to measure the local angle of the surface of the wafer accurately and precisely with respect to the normal to the horizontal plane of the tool. The OSA system is used to compensate for wafer bowing or bending due to gravity sag of the wafer and distortion from residual stresses in the processed wafers.

Fig. 1

Schematic of the key elements of XCD in a transmission geometry with the sample rotated about the omega (ω) and chi (χ) axes. The scattered x-ray intensity distribution is measured using a high-performance 2D photon counting detector.

JM3_22_3_031205_f001.png

We have developed a direct measurement of the angular tilt components of HAR holes with respect to the surface of wafers that is fast, accurate and precise. Fast Tilt is a model-free method whereby the sample is rotated about the y- and x-directions (ω- and χ-axes, respectively) and the scattered x-ray intensity is measured. The set of rotation angles used is specified according to the aspect ratio of the measured structure. The scattered x-ray intensity is integrated within a region of interest (ROI) for each angular position of the sample. As the sample is rotated, the integrated intensity varies, with a maximum in scattered intensity occurring when the x-rays become parallel with the volume averaged axis to the holes. This angular position for the maximum in the scattered intensity directly gives the hole tilt with respect to the surface of the wafer after considering the local surface angle from the OSA measurement.

The two tilt axes are typically varied independently under recipe control in the following way. First, the ω-angle is varied and the scattered intensity while rotating about the y-axis is collected. Next, the scattering about the x-axis is collected by rotating the χ-angle considering the estimated tilt for the ω-axis. This is shown schematically for rotation about the ω-axis in Fig. 2(a). The scattered intensity is separated from the direct beam and the background intensities by defining a ROI that only covers the scattered peaks as illustrated toward the left of Fig. 2(b). The size and location of the ROI is optimized to include the areas in the 2D diffraction pattern that are most sensitive to the angular rotations. The areas near center of the diffraction pattern, which are affected by the direct beam and the areas further from the center with mostly background noise are excluded. The integrated intensity versus scan angle for each axis is fit using non-linear regression with an empirical peak function, such as a pseudo-Voigt function. An example curve is shown in Fig. 2(c). The maximum scattered x-ray intensity is directly correlated to the hole tilt. The form factor shown in Eqs. (1) and (2) is dependent on the shape, size and electron density of the object. For a given object, the scattering amplitude is maximized when the tilt angles are equal to 0. The Fast Tilt method was used to assess sensitivity to hole tilt in both hard mask open (HMO) and after the memory hole (MH) etch samples. For this Fast Tilt method, no calibration or other prior knowledge about the sample is needed since the angles are measured directly rather than from a derived quantity as in the case of high voltage scanning electron microscopy (HVSEM) or OCD. With that said, the technique is only applicable to single-tier and not multi-tier structures since overlay will also contribute to the effective tilt obtained. For such samples, or if additional information beyond the average tilt is required, then model-based XCD analysis can be used to obtain the required parameters.17

Fig. 2

(a) The orientation of the x-ray beam (red arrow) with respect to the holes (blue channels) and (b) the typical scattered x-ray intensity at the indicated rotation angles for 3D nand MHs. (c) The measured (blue line) scattering intensity integrated within a defined region of interest plotted as a function of the rotation angle of the sample and best-fit curve (red line).

JM3_22_3_031205_f002.png

Measurements analyzed using a detailed physical model were included in this study to provide a more comprehensive description of the HAR structures. For this purpose, additional measurements at different sample rotations, which were determined by the aspect ratio of the structures, were collected. These measurements were performed by scanning the ω- and χ-axes between ±2  deg. The measurement times are application dependent and are optimized during recipe setup.

The sequence of x-ray images is then used in a non-linear regression algorithm to minimize the difference between simulated and measured intensity distributions for all angles measured. Typically, the parametric model will describe the shape(s) of the holes and/or trenches as a function of depth and the non-linear variation in the center of the feature(s) as a function of depth. Several non-linear regression algorithms have been used for XCD analysis, including genetic algorithms,21 and in this work, an advanced gradient-based search together with optional randomized starting points have provided good accuracy and precision of the fits with fast regression times that are smaller than the measurement times. In this work, the mean square error cost function provides the numerical metric that is minimized during regression

Eq. (7)

MSEAnscombe1Nj=1N(Imeas.jIsim.j)2where  I2I+38,
where the summation index spans the pixels from all scattering images being used. The structure model parameters are automatically adjusted using an advanced non-linear regression engine to minimize the differences between measured and simulated intensity distributions using a numerical goodness-of-fit (GOF) function. Data analysis capabilities are provided by a software package called NanoDiffract for XCD (NDX) which includes a 3D CAD-based region editor and parameter/constraint table for creating sophisticated structure models as seen in Fig. 3.17

Fig. 3

NDX graphical user interface for model building and optimization.

JM3_22_3_031205_f003.png

In addition to the parameterization and regression of the model, another important consideration that is common with many model-based analysis techniques with many parameters is the correlation between the analysis parameters when developing models for both R&D and production. For example, due to weak scattering of x-rays even from HAR structures and very limited attenuation through the structure, there can be cases when the top and bottom of the profiles are switched, e.g., HAR holes with larger bottom CD than top CD, which is not common for the etch process used to form the features. The GOF alone cannot be used to distinguish between such solutions since the intensity distributions for both, under the kinematic assumptions, is negligible. This and other more subtle parameter correlation cases must be considered and managed. This is typically done by fitting realistic synthetic datasets or experiments with reference data and looking at the various two-parameter correlations in a scatterplot matrix and when correlations are found, parameters are fixed or limited with hard constraints based on the process window, or the use of penalty functions applied to the regression to guide to the most physically plausible solutions with all the given information. Even so, x-ray scattering is more favorable than traditional OCD since there are minimal correlations between the material and structural parameters in x-ray scattering due to the interaction with the core rather than valance electrons in the materials.

Variations in the etch quality can lead to several different phenomena in 3D-nand structures that can be described both linearly and non-linearly, as seen in Fig. 4. In this study, we focus on the CLS in the X and Y direction, a continuous linear change in the tilt inside the bulk of the hole. However, non-linear changes, such as kinks and bends, which are discontinuous changes in tilt inside the hole, and asymmetry in the hole sidewalls can also be characterized. These parameters are particularly important as they impact the capability to perform complementary metrology techniques that will be discussed in the following section.

Fig. 4

Illustrations and corresponding XSEM images for different CLS types (a) No CLS; (b) linear CLS; and (c) a non-linear kink.

JM3_22_3_031205_f004.png

2.3.

Complementary Techniques

HVSEM is a non-destructive technique used for measuring tilt of HAR structures. It uses secondary and backscattered electrons to image the top and bottom of the holes, thereby allowing the displacement or tilt to be determined.22 HVSEM is a high throughput technique that can provide full wafer maps in a few minutes. The technique can also measure the top-down CDs of holes and therefore determine whether the top of the holes have a circular or elliptical shape and/or if they have defects. The drawbacks of HVSEM are several. For tilt measurements, very deep holes are problematic as the backscattered electrons cannot sample the bottom CDs. Tilt for holes with aspect ratios of up to 50:1 can be measured using commercially available HVSEM tools operating at up to 30-kV scanning voltages with 1-nm CD and 0.02 deg (3σ) repeatability. For holes with higher aspect ratios, HVSEM is not recommended. HVSEM also has difficulty measuring the tilt of holes in carbon after the HMO etch step. Another drawback is that remaining carbon needs to be stripped before tilt can be measured after the channel hole etch step. Overall, HVSEM is a good technique, but we have found that XCD provides better repeatability, accuracy, and reliability.

OCD metrology, also known as scatterometry, is optical technique with widespread adoption for measuring CD and profile shapes of 2D (line/space) and 3D (holes, FinFET, etc.) structures.23,24 OCD is fast, non-destructive, and has excellent repeatability, therefore, making it appealing for process monitoring in high volume manufacturing (HVM) fabs. Unlike image-based techniques such as HVSEM, OCD is model based. The shape and other parameters of a structural model are varied until the best fit is obtained between the measured and calculated spectra.25 One of the drawbacks for OCD is that the model is only an approximation of the actual structure being measured. OCD is also sensitive to material properties and changes to these properties can negatively impact the model significantly. Another limitation of OCD is that optically opaque materials cannot be measured. A variant of OCD is a model free approach that measures asymmetry in a structure (such as HAR holes in a 3D nand structure) and correlates that to tilt of the holes.26 This avoids the complexities of a model-based approach, is fast and robust, however, it suffers from poor repeatability.

Image-based destructive techniques such as cross-section scanning electron microscopy (XSEM) and transmission electron microscopy (TEM) are widely used for defect identification and/or localized structural and dimensional measurements.27 As process tolerance scales down to nanometer dimensions, the cross-section surface quality, contamination, and damage due to the sample preparation, becomes important. Widely used cross-section methods include scribing and cleaving, microcleaving,28 wedge polishing,29,30 and focused ion beam (FIB) techniques.31 The FIB-SEM system is used to investigate the 3D structures. The workflow includes FIB-SEM processing, imaging analysis, and quantitative 3D reconstruction. Ga-FIB is used for multi-slicing of the sample, and SEM can image the exposed cross-sectional region. Focus control ensures sharp imaging on slanted surfaces. Milling rates depend on the difference in hardness and geometrical rigid integrity of the sample materials. After the FIB-SEM process, images taken are aligned by surface markers to generate high-resolution 3D volume reconstruction data. All of these steps have the potential to introduce contamination and induce damage to the cross-section surface. Despite these challenges, XSEM and TEM are workhorses for dimensional metrology and are often used as a reference for model-based approaches such as OCD and XCD. Measurement of dimensions is direct and does not require modeling. Since the measurements are highly localized, statistical validity is questionable unless results are averaged from many measurements over slightly different but adjacent locations. Care must be taken during sample preparation to ensure that the results are valid and accurate.

To overcome some of these challenges, FIB-SEM delaying approaches have been developed. However, small field of view of TEM and XSEM images often do not provide the data necessary for reliable statistical analysis even with advanced and automated sample preparation.3234 To obtain statistically relevant metrology information, other techniques have been proposed such as full 3D tomography.35 In such approaches, full 3D volume of holes can be reconstructed but tilt information may be lost. While these techniques provide rich information, they are both destructive and time consuming thereby limiting the possibility for high sampling.

A summary of the complementary techniques compared in this section is shown in Table 1.

Table 1

Comparison of different techniques for HAR hole tilt metrology considered in this paper.

Technique/featureCD-SAXSHVSEMXSEMFIB + SEM (delayering)OCD
BeamX-rayElectronElectronElectronUV/Vis Light
Lateral sampling100  μm10  μm10  μm10  μm25  μm
Array/device samplingDeviceDeviceDeviceDeviceArray
MAM time1 to 2 min15  s∼days (time to results)∼days (time to results)5  s
Non-contact / destructiveYNNNY
Model-freeYYYYY
Calibration-freeYYYYN
Precision (3σ)< 0.01 deg0.02 deg10 to 20 nm10 to 20 nm0.1 deg
Depth limit>10  μm5  μm>10  μm>10  μm5  μm

3.

Results and Discussion

3.1.

Sample and Measurement Description

For the purposes of this study, XCD Fast Tilt measurements were performed on various samples of 3D-nand structures at two key process steps; after HMO and MH etch. The thickness of the carbon hard mask and depth of the holes etched therein were 2  μm. The hard mask is used to transfer the pattern into the much thicker, periodic stack of silicon ON layers beneath. After etching the ON stack, the remaining hard mask is removed, and the depth of the MH varied between 4 and 6  μm. The Fast Tilt measurement times were optimized to account for the varying materials and hole depths. The smaller electron density and scattering volume in the shallower HMO structures required longer count times compared to the deeper MH structures. Furthermore, larger variation in the tilt magnitude and uniformity was observed in the HMO structures necessitating wider scan ranges (up to ±3  deg) whereas the tilt of the taller MH structures required a smaller scan range (<1  deg). A finer angular step size was used for the MH samples as the x-ray scattering peak widths are inversely proportional to their depths. In addition, the hole profile and CLS of the MH structures were evaluated following the Fast Tilt measurement by aligning the beam and measuring the diffraction while rotating the sample about two orthogonal axes, ω and χ. Typically, the profiling measurements in this work used 13 angles systematically distributed over ω, χ range of ±2  deg.

The spacing between these MH and HMO structures (pitch) are typically 100  nm and are densely packed within an array. Larger pitch objects such as slit trenches were also investigated using the Fast Tilt method. Like the MH structures, the depth of the slits varied between 4 and 6  μm. However, the pitch of the trenches often exceeds 10× the pitch of HAR holes in the same device. This in turn leads to weaker scattered intensity from the slits because of the fewer periodic objects. To account for this, the Bruker Sirius-XCD tool allows for the divergence of the beam to be increased significantly in the long direction of the slits, which increases the intensity of the incident x-ray beam and number of structures within the beam. Furthermore, the incident beam divergence in the short direction of the slits being analyzed can be decreased, resulting in a typical spot size of in the range 20×200 to 400  μm. With these changes, there is a net increase of the incident intensity, and this compensates for the reduced number of the slits while providing the necessary high-angular resolution

3.2.

Measurement Performance

For the XCD Fast Tilt measurements, the sample is rotated about the ω- and χ-axes to measure tilt components in the x (TiltX) and y (TiltY) directions, respectively. The angular range for the MH samples was between 0.9 and 0.9 deg. The Fast Tilt results are obtained by fitting the scattered x-ray intensity as the angle of wafer is varied with respect to the incident x-ray beam. The scattered x-ray intensity in the 2D images is integrated within a specified ROI as a function of the rotation angle. The best-fits of these curves provide the position of the maximum scattered intensity through the holes. Representative measured and best-fit Fast Tilt data are shown in Fig. 2(c). This method is very similar to the approach used in high-resolution x-ray diffraction to align the x-ray beam to the crystal lattice.36 This method provides an accurate, precise, and sensitive measure of the average tilt of high-aspect ratio holes. The tilt precision was determined by performing repeated measurements on the same sample. The repeatability tests show the typical standard deviation (σ) for MH structure to be 3σ<0.01  deg. Moreover, the tilt calculations in this approach have been automated and the measurement and analysis can be fully recipe driven, and therefore easily integrated into a fab for automated inline monitoring. Alternative methods based on the symmetry of the 2D scattering images have also been developed but were not used in the present study.37

The scattered x-ray intensity can be collected in just a few seconds per rotation angle and so full tilt maps across 300-mm wafers can be collected in a reasonable amount of time. Figure 5(a) shows a tilt map of the MH structure with TiltX and TiltY plotted vectors. The full wafer-map was collected in 2  h. It is well known that the typical tilt behavior is symmetric about the center of the wafer because of the radial behavior of the etch process. Thus, it is often appropriate to measure tilt in only one direction along the x- or y-axis of the wafer to determine the trends across the entire wafer. Figure 5(b) shows the TiltX component (deg) plotted with respect to the X position on the wafer (mm). It is clear in this plot that tilt behavior is highly symmetric about the center of the wafer, where the tilt is close to zero. Interestingly, the tilt across the wafer reaches a maximum close to the center of the wafer (radius30  mm) where the tilt variation is quite high (tilt between ±0.5  deg). Therefore, it is critical to be able to sample large number of sites across the wafer to accurately capture the trend and shifts in the tilt behavior.

Fig. 5

(a) Full wafer vector map showing the tilt magnitude and direction variation obtained using XCD. (b) TiltX measured at Y=0  mm along the X-axis.

JM3_22_3_031205_f005.png

The edge of the wafer is a particularly important region of the wafer to monitor closely. Here we often see rapid changes in tilt direction or extreme magnitude changes. Figure 6 shows the TiltX behavior at the edge of two 300-mm HMO wafers from the same process lot. For these wafers, at both the positive and negative X positions, the tilt behavior is relatively small and stable up to radius 140  mm, however, beyond this and approaching the wafer edge, there is a sudden increase of the tilt magnitude. Interestingly, these wafers from within the same process lot exhibited opposite tilt trends. Near the edges, one wafer showed large inward tilt toward the center of the wafer, whereas the other wafer showed large outward tilt toward the edge. This large tilt magnitude can be impactful on the device performance and yield as many die are located near the edge of the wafer, thus it is important detect the large variations inline.38

Fig. 6

HMO wafers etched in the same process lot showed both inward and outward tilt near the wafer edge.

JM3_22_3_031205_f006.png

Moreover, near the wafer edge there can be abrupt changes in magnitude that cause the directions of the tilt to flip. Figure 7 shows the tilt across the X direction for a 300-mm MH wafer. On the left side of the wafer (X direction) all of tilts are inward (positive) up until 135  mm. Then suddenly, there is a directional change to outward (negative) tilt followed by another sudden change back to inward tilt. As expected, similar switching of the tilt directions was also observed on the right side (+X direction) of the wafer, where the tilt directions changed from mostly inward (negative) to outward (positive) and back inward toward the wafer edge.39

Fig. 7

Sudden changes in the tilt magnitude near the edge of a MH wafer caused the direction of the tilt to change from outward to inward with respect to the center of the wafer.

JM3_22_3_031205_f007.png

In general, XCD shows good agreement with the other metrology techniques used for monitoring the tilt trend and magnitude across a wafer. Figures 8(a) and 8(b) show the tilt trend measured by XCD along the X-direction of a 300-mm MH wafer compared with the tilt trends captured by HVSEM and OCD, respectively. These techniques captured the same tilt trend across the entire diameter of the wafer. In particular, the comparison shown in Fig. 8(a) exhibited multiple changes in the tilt direction, which were clearly identified by both XCD and HVSEM. The HVSEM measurements provides the displacement (nm) between the center of the images of the top and bottom of the hole and can be converted to tilt if the height of the hole is known.40 In OCD, the tilt is obtained from the off-diagonal elements of the Mueller matrix which is sensitive to asymmetry from tilt or other sources, in the scattering structures.41 XCD not only shows excellent tilt correlation with HVSEM and OCD measured on these densely repeating HAR MH structures, but also shows very good agreement with tilt measured on more challenging, less densely repeating structures such as slit trenches. Figures 9(a) and 9(b) show the tilt trend measured by XCD along the Y-direction, which corresponds to the direction of the slits, of a 300-mm slit wafer compared with the tilt trends captured by HVSEM and OCD respectively. The comparison in Fig. 9(a) shows the tilt behavior is relatively low and close to zero across the wafer, except for a region near the middle of the wafers that exhibits a dramatic and inversely symmetric increase in the tilt on the left and right sides of the wafer. This behavior was clearly identified by both XCD and HVSEM. Figure 9(b) shows the tilt measured by XCD and OCD across a different 300-mm slit wafer. Interestingly, this wafer did not exhibit the same highly symmetrical behavior about the center of the wafer as shown in the previous examples. This asymmetrical behavior is introduced by an imbalance in the etch chamber on purpose. Both techniques showed good sensitivity and excellent correlation (R20.95).

Fig. 8

Tilt distribution measured across the X-direction of 300-mm MH wafers obtained by XCD compared to (a) X-displacement measured by HVSEM and (b) asymmetry measured by OCD. Good agreement is observed between the complementary techniques.

JM3_22_3_031205_f008.png

Fig. 9

Tilt distribution measured across the Y-direction of 300-mm slit trench wafers obtained by XCD compared to (a) Y-displacement measured by HVSEM and (b) asymmetry measured by OCD. Good agreement is observed between the complementary techniques.

JM3_22_3_031205_f009.png

These sudden changes to the tilt and trends across the wafer are often unexpected and difficult to predict, thus adequate inline sampling is necessary to identify such phenomena. Small discrepancies in the absolute tilt could be observed in these comparisons between the metrology techniques. However, more significant differences have been observed in other wafers as shown in Fig. 10. This is expected to be the result of the assumptions and limitations previously described. Because OCD is sensitive to material properties across a wafer as well as other sources of asymmetry other than tilt, such changes can lead to poor accuracy and repeatability in some wafers. Because x-ray scattering interactions occur in the core rather than valance electrons in the materials, the correlations between material and structural parameters are minimal. In the case of HVSEM, the depth of the holes or slits is needed to calculate the tilt from displacement, and if there is significant variation in the depth from the assumed value due to under/over etch, then inaccuracies can occur.

Fig. 10

Tilt distribution measured across the X-direction of 300-mm MH wafers obtained by XCD compared to (a) X-displacement measured by HVSEM and (b) asymmetry measured by OCD. The disagreement between the complementary techniques is significant.

JM3_22_3_031205_f010.png

Furthermore, if the etched structures are deep enough such that the bottom cannot be well resolved, there will be large error in the measured displacement. Because x-rays can penetrate through the entirety of the holes and trenches, and through the full thickness of the wafer, it shows no limitations for depth or layer materials. Moreover, x-rays provide a direct angular measurement free from calibration. In fact, the larger volumes in the higher AR structures increase the total scattering signal collected. This makes XCD ideal for future 3D-nand technologies such as multiple tiered MH structures. Additionally, if the structures exhibit a non-linear or discontinuous change in the tilt, such as a kink, other nondestructive techniques such as HVSEM and OCD cannot measure the displacement correctly. Mueller based, model-free OCD lacks the sensitivity to kinked structures as it measures the overall structure asymmetry and reports a measure of asymmetry rather than actual tilt in degrees. A model-based OCD approach may work, but parameter correlation becomes problematic due to low sensitivity. This results in inaccuracies beyond acceptable levels. HVSEM cannot see the true bottom of the structure when there is a kink or any other type of displacement in the stack. Backscattered electrons will be detected from the top of the kink region, thus the displacement reported is not from the true bottom of the stack. Because of this effect and the potential impact on device performance, it has become increasingly important to be able to characterize the HAR structures beyond the linear tilt component.

To accomplish this, a model-based approach is used, and the structural parameters from the best fit between the measured and simulated data are presented. XCD has excellent correlation with destructive techniques such as CD-SEM and FIB-SEM. Figure 11 shows the average CD correlation between XCD and CD-SEM for multiple wafers. Average CDs (blue points) from several sites in each wafer are plotted together with the linear best-fit (dotted line). Although, it is not uncommon to have an offset between different metrology techniques, the correlation between XCD and CD-SEM is excellent (R2>0.98) and the slope is close to one. As previously discussed, SEM measurements may be highly localized, and the variability between must be averaged over many measurements over adjacent locations to improve the statistical validity.

Fig. 11

Correlation plot of the average CD values obtained from XCD and CD-SEM for multiple wafers.

JM3_22_3_031205_f011.png

Figure 12(a) shows a typical 3D profile of the HAR MH structure near the edge of wafer as measured by XCD. Alongside are the X and Y slices of the CD profile as a function of depth. The solid blue and red lines in the profiles represent the combined tilt and CLS in the X and Y directions, respectively. These findings indicate that tilt and CLS are large along X but minimal along Y. A comparison between the XCD and FIB-SEM average CD values [Fig. 12(b)] shows excellent agreement and builds confidence in the XCD results. From the depth profiles, it is clear that there is large tilt and CLS component in the X direction, whereas the CLS in Y is minimal. This is in good agreement with the fast tilt results measured on this sample, as well as the known extreme tilt behavior at the wafer edges we have previously shown. The individual components of tilt (dotted blue) and CLS (solid blue) in the X and Y directions can be deconvoluted, as seen in Fig. 12(c). Like tilt, magnitude and direction of the CLS varies depending on the measurement location from a wafer. Figure 12(d) shows the CLS plotted with respect to the etch depth for multiple sites in the X-direction across the 300-mm MH wafer. For this sample, there is negligible CLS-X at the center of the wafer. However, as we approach the edges of the wafer, the CLS increases. Such behavior is observed on both the X and +X sides of the wafer and expected to exhibit similar symmetric behavior as we’ve observed for tilt.

Fig. 12

(a) 3D and 2D cross-section profile obtained by XCD near the edge of the wafer and (b) the correlation plot between the average CD values obtained from XCD and FIB-SEM (c) The CLS shift components are shown in the X and Y directions and (d) the CLS profiles measured across different sites in the X-direction of a 300-mm MH wafer.

JM3_22_3_031205_f012.png

Because XCD is non-destructive and higher throughput compared to XSEM and TEM, it can be used to get detailed cross wafer information. Figure 13 shows the CD in X and Y direction as a function of depth across the wafer x-axis for six different MH wafers with DOE. In Fig. 13(a), the CD in the X direction (CDx) at different depths is shown in different colors and labeled from top (#2) to bottom (#20) with equal depth spacing. CDx variation at different depths across wafer X is plotted for 6 DOE wafers. The same plots of CD in Y direction (CDy) are shown in Fig. 13(b). For all the wafers measured, cross wafer CD variation gets larger with depth in both X and Y directions. Such detailed information is very useful for fine tuning the etch process parameters and settings, and it is difficult to obtain using the other previous discussed metrology techniques.

Fig. 13

(a) CD-X versus X-position as a function of depth for six DOE MH wafers. Depth is shown in different colors and labeled from top (#2) to bottom (#20) with equal depth spacing. The same for CD-Y is shown in (b).

JM3_22_3_031205_f013.png

4.

Conclusions

For high aspect ratio structures such as 3D-nand and DRAM MHs, complementary metrology techniques can be used to analyze critical aspects of the challenging hole etch processes. A brief overview of the most common techniques and their capabilities with respect to hole and tilt metrology is presented. We have analyzed many wafers and show representative results from 3D-nand holes and slit trenches at two critical process steps: after HMO and after channel hole etch. The results are compared, and examples are given where there is agreement and disagreement between the techniques. Of all the techniques discussed, only XCD and specifically the Fast Tilt method can provide tilt information in a non-contact and non-destructive manner directly without any special sample treatment, calibration, or physical modeling at production worthy throughputs. XCD can measure fast tilt in deep holes (>10-μm depth) in both opaque and transparent materials. We have shown that this model-free approach is accurate and highly repeatable (3σ<0.01  deg). Representative full wafer tilt maps were presented, and the high radial symmetry expected from the etch process suggests that tilt measurements along a single axis may be sufficient for an inline monitoring routine. Furthermore, as memory technology advances, XCD can be used directly as a process monitor or as a reference technique for other techniques such as OCD. Additionally, physical model-based analysis extends the capabilities of XCD beyond accurate and precise average tilt in single-tier structures to additional parameters such as hole shape metrology and non-linear center line shift of holes in both single and multi-tier structures, which makes XCD an accurate and nondestructive guidance for HAR etch process turning. Examples are shown here using a single tiered MH structure, but additional use cases and more complex structures will be further discussed in future publications.

Acknowledgments

We would like to acknowledge the contributions from the authors, committee, and editors of the SPIE proceeding “Inline metrology of high aspect ratio hole tilt using small-angle x-ray scattering,” Proc. SPIE 12053, Metrology, Inspection, and Process Control XXXVI, 1205312 (26 May 2022). This work is partially based on the SPIE proceeding.

References

1. 

S. Sriraman et al., “Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation,” (2018). Google Scholar

2. 

R. L. Jones et al., “Small angle x-ray scattering for sub-100 nm pattern characterization,” Appl. Phys. Lett., 83 (19), 4059 –4061 https://doi.org/10.1063/1.1622793 APPLAB 0003-6951 (2003). Google Scholar

3. 

T. Hu et al., “Small angle x-ray scattering metrology for sidewall angle and cross section of nanometer scale line gratings,” J. Appl. Phys., 96 (4), 1983 –1987 https://doi.org/10.1063/1.1773376 JAPIAU 0021-8979 (2004). Google Scholar

4. 

C. Wang et al., “Characterization of correlated line edge roughness of nanoscale line gratings using small angle x-ray scattering,” J. Appl. Phys., 102 (2), 024901 https://doi.org/10.1063/1.2753588 JAPIAU 0021-8979 (2007). Google Scholar

5. 

C. Wang et al., “Small angle x-ray scattering measurements of lithographic patterns with sidewall roughness from vertical standing waves,” Appl. Phys. Lett., 90 (19), 193122 https://doi.org/10.1063/1.2737399 APPLAB 0003-6951 (2007). Google Scholar

6. 

C. Wang et al., “CD-SAXS measurements using laboratory-based and synchrotron-based instruments,” Proc. SPIE, 6922 69222E https://doi.org/10.1117/12.773774 PSISDG 0277-786X (2008). Google Scholar

7. 

C. Wang et al., “Nonplanar high-k dielectric thickness measurements using CD-SAXS,” Proc. SPIE, 7272 72722M https://doi.org/10.1117/12.813757 PSISDG 0277-786X (2009). Google Scholar

8. 

C. Wang et al., “Small angle x-ray scattering measurements of spatial dependent linewidth in dense nanoline gratings,” Thin Solid Films, 517 (20), 5844 –5847 https://doi.org/10.1016/j.tsf.2009.03.040 THSFAP 0040-6090 (2009). Google Scholar

9. 

D. F. Sunday et al., “Determining the shape and periodicity of nanostructures using small-angle x-ray scattering,” J. Appl. Crystallogr., 48 (5), 1355 –1363 https://doi.org/10.1107/S1600576715013369 JACGAR 0021-8898 (2015). Google Scholar

10. 

D. F. Sunday et al., “Evaluation of the effect of data quality on the profile uncertainty of critical dimension small angle x-ray scattering,” J. MicroNanolithogr. MEMS MOEMS, 15 (1), 014001 https://doi.org/10.1117/1.JMM.15.1.014001 (2016). Google Scholar

11. 

R. J. Kline et al., “X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (1), 014001 https://doi.org/10.1117/1.JMM.16.1.014001 (2017). Google Scholar

12. 

D. F. Sunday and R. J. Kline, “Methodology for evaluating the information distribution in small angle scattering from periodic nanostructures,” J. MicroNanolithogr. MEMS MOEMS, 17 (4), 044002 https://doi.org/10.1117/1.JMM.17.4.044002 (2018). Google Scholar

13. 

C. M. Settens et al., “Assessment of critical dimension small-angle x-ray scattering measurement approaches for FinFET fabrication process monitoring,” J. MicroNanolithogr. MEMS MOEMS, 13 (4), 041408 https://doi.org/10.1117/1.JMM.13.4.041408 (2014). Google Scholar

14. 

C. M. Settens, “An assessment of critical dimension small angle x-ray scattering metrology for advanced semiconductor manufacturing,” University at Albany, State University of New York, (2015). Google Scholar

15. 

M. S. Korde et al., “X-ray metrology of nanowire/nanosheet FETs for advanced technology nodes,” Proc. SPIE, 11325 113250W https://doi.org/10.1117/12.2553371 PSISDG 0277-786X (2020). Google Scholar

16. 

C. M. Settens et al., “Critical dimension small angle X-ray scattering measurements of FinFET and 3D memory structures,” Proc. SPIE, 8681 86810L https://doi.org/10.1117/12.2012019 PSISDG 0277-786X (2013). Google Scholar

17. 

M. Wormington et al., “X-ray critical dimension metrology solution for high aspect ratio semiconductor structures,” Proc. SPIE, 11611 116110W https://doi.org/10.1117/12.2583966 PSISDG 0277-786X (2021). Google Scholar

18. 

M. Fan et al., “High resolution profiles of 3D nand pillars using x-ray scattering metrology,” Proc. SPIE, 11611 116110S https://doi.org/10.1117/12.2585217 PSISDG 0277-786X (2021). Google Scholar

19. 

K. Sasaki et al., “Measurability analysis of the HAR structure in 3D memory by T-SAXS simulation,” Proc. SPIE, 11611 116110U https://doi.org/10.1117/12.2582070 PSISDG 0277-786X (2021). Google Scholar

20. 

P. Gin et al., “Inline metrology of high aspect ratio hole tilt using small-angle x-ray scattering,” Proc. SPIE, 12053 1205312 https://doi.org/10.1117/12.2614312 PSISDG 0277-786X (2022). Google Scholar

21. 

A. F. Hannon et al., “Advancing x-ray scattering metrology using inverse genetic algorithms,” J. MicroNanolithogr. MEMS MOEMS, 15 (3), 034001 https://doi.org/10.1117/1.JMM.15.3.034001 (2016). Google Scholar

22. 

L. Tu et al., “3D-NAND wafer process monitoring using high voltage SEM with auto e-beam tilt technology,” Proc. SPIE, 11325 113250L https://doi.org/10.1117/12.2551610 PSISDG 0277-786X (2020). Google Scholar

23. 

C. J. Raymond, “Scatterometry for semiconductor metrology,” Handbook of Silicon Semiconductor Metrology, 369 Marcel Dekker, New York (2001). Google Scholar

24. 

A. den Boef et al., “Scatterometry for advanced process control in semiconductor device manufacturing,” Proc. SPIE, 10449 1044916 https://doi.org/10.1117/12.2270595 PSISDG 0277-786X (2017). Google Scholar

25. 

A. C. Diebold, A. Antonelli and N. Keller, “Perspective: Optical measurement of feature dimensions and shapes by scatterometry,” APL Mater., 6 058201 https://doi.org/10.1063/1.5018310 (2018). Google Scholar

26. 

G. A. Antonelli and N. Keller, “Optical critical dimension metrology in memory and logic,” in Int. Conf. Front. of Characterization and Metrol. for Nanoelectron. (FCMN), (2019). Google Scholar

27. 

K. Banerjee et al., “Microanalysis of VLSI interconnect failure modes under short-pulse stress conditions,” in 38th Annu. IEEE Int. Reliab. Phys. Symp. Proc., 283 –288 (2000). https://doi.org/10.1109/RELPHY.2000.843928 Google Scholar

28. 

J. Teshima, “Combining FIB and automated microcleaving provides fast, accurate cross sections,” Microsc. Today, 7 34 –35 https://doi.org/10.1017/S1551929500064804 (1999). Google Scholar

29. 

J. Chen and D. G. Ivey, “Preparation of metallized GaN/sapphire cross sections for TEM analysis using wedge polishing,” Micron, 33 489 https://doi.org/10.1016/S0968-4328(01)00038-5 MICNB2 0047-7206 (2002). Google Scholar

30. 

H. Li and L. Salamanca-Riba, “The concept of high angle wedge polishing and thickness monitoring in TEM sample preparation,” Ultramicroscopy, 88 171 https://doi.org/10.1016/S0304-3991(01)00079-1 ULTRD6 0304-3991 (2001). Google Scholar

31. 

E. C. G. Kirk, D. A. Williams and H. Ahmed, “Cross-sectional transmission electron microscopy of precisely selected regions from semiconductor devices,” Inst. Phys. Conf. Ser., 100 501 IPCSEP 0951-3248 (1989). Google Scholar

32. 

L. Kwakman et al., “Statistical significance of STEM based metrology on advanced 3D transistor structures,” Proc. SPIE, 10959 109590C https://doi.org/10.1117/12.2514963 PSISDG 0277-786X (2019). Google Scholar

33. 

M. Ledoux et al., “Gas-enhanced PFIB surface preparation enabled metrology and statistical analysis of 3D NAND devices,” Proc. SPIE, 10959 109590I https://doi.org/10.1117/12.2515069 PSISDG 0277-786X (2019). Google Scholar

34. 

Z. Zhong et al., “Toward reliable and repeatable automated STEM-EDS metrology with high throughput,” Proc. SPIE, 10585 105852X https://doi.org/10.1117/12.2297403 PSISDG 0277-786X (2018). Google Scholar

35. 

J. T. Neumann et al., “3D analysis of high-aspect ratio features in 3D-NAND,” Proc. SPIE, 11325 113250M https://doi.org/10.1117/12.2552006 PSISDG 0277-786X (2020). Google Scholar

36. 

D. K. Bowen and B. K. Tanner, High Resolution X-ray Diffractometry and Topography, CRC Press, London (1998). Google Scholar

37. 

W. D. Thompson et al, “Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering,” (2021). Google Scholar

38. 

A. Eppler, “Controlling uniformity at the edge,” (2017). https://semiengineering.com/controlling-uniformity-at-the-edge/ Google Scholar

39. 

J. McChesney et al., “Detection system for tunable / replaceable edge coupling ring,” (2017). Google Scholar

40. 

W. Sun et al., “High-voltage CD-SEM-based application to monitor 3D profile of high-aspect-ratio features,” J. Micro/Nanolithogr. MEMS MOEMS, 19 (2), 024002 https://doi.org/10.1117/1.JMM.19.2.024002 (2020). Google Scholar

41. 

D. Dixit et al., “Nonconventional applications of Mueller matrix-based scatterometry for advanced technology nodes,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (3), 034001 https://doi.org/10.1117/1.JMM.17.3.034001 (2018). Google Scholar

Biography

Peter Gin, PhD, is an applications manager at Bruker, Nano Surfaces and Metrology Division. His expertise focuses on x-ray metrology, including x-ray diffraction, reflectivity, and fluorescence. Recently, he has contributed to the development of x-ray critical dimension (XCD), an inline transmission small angle x-ray scattering technique. He received his PhD in materials science and engineering from Stony Brook University and was a user at light sources across the world.

Matthew Wormington received his BSc (Hons) degree in physics from the University of Birmingham, United Kingdom, and postgraduate studies in engineering at the University of Warwick, United Kingdom. He is the chief technologist for x-ray metrology and inspection for Bruker, Nano Surfaces and Metrology Division. His research interests include computational and experimental x-ray analysis of nano-and thin-film structures for the compound and silicon semiconductor industries. He has authored/co-authored over 70 articles, 20 patents, 2 book chapters and given several invited presentations.

Yehonatan Amasay is an application scientist at Bruker, Nano Surfaces and Metrology Division. His focus is x-ray metrology, specifically XCD, an inline transmission small angle x-ray scattering technique. He received his BS degree in physics and mathematics from the Technion – Israel Institute of Technology, and an MS degree in physics from Tel-Aviv University. His thesis focused on elastic-electronic couplings in two-dimensional materials.

Inbar Grinberg, PhD, is an applications manager at Bruker, Nano Surfaces and Metrology Division. Her focus is x-ray metrology, specifically x-ray critical dimension, an inline transmission small angle x-ray scattering technique. She received her PhD in mechanical engineering from the Technion – Israel Institute of Technology, where she focused on MEMS devices. Prior to her position in Bruker, she held a post-doctoral position at UIUC, where her work focused on topological insulators.

Kevin Matney is a senior technologist and senior software engineer at Bruker Nano Analytics. He received his BS and MS degrees at UCLA in materials science and engineering. He has worked in x-ray diffraction for 30 years (with Bede, Jordan Valley Semiconductors, and Bruker), with special emphasis on high resolution diffraction and thin film metrology. His work includes development of x-ray diffraction equipment and analysis software. He has over 40 publications in the x-ray field.

Jin Zhang, PhD, has over 9 years of experience in optical and x-ray metrology. She is currently a staff process engineer at Lam Research, Corporate Metrology Group. Prior to that, she worked at KLA Corp. as an applications engineer supporting optical CD. She holds a PhD from the University of Michigan at Ann Arbor in material science and engineering. Her thesis focused on nonlinear and ultrafast optical techniques and their applications to material characterization.

Osman Sorkhabi, PhD has 22 years of experience in the semiconductor industry primarily in metrology, process control, and characterization. He is currently a director of engineering at Lam Research, Corporate Metrology Group. His focus is on optical and x-ray thin film and dimensional metrology techniques. He holds a PhD in physical chemistry from the University of California, Davis.

Biographies of the other authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Peter Gin, Matthew Wormington, Yehonatan Amasay, Inbar Grinberg, Alexander Brady, Israel Reichental, Kevin Matney, Jin Zhang, and Osman Sorkhabi "Inline metrology of high aspect ratio hole tilt and center line shift using small-angle x-ray scattering," Journal of Micro/Nanopatterning, Materials, and Metrology 22(3), 031205 (22 March 2023). https://doi.org/10.1117/1.JMM.22.3.031205
Received: 14 November 2022; Accepted: 15 February 2023; Published: 22 March 2023
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
KEYWORDS
Semiconducting wafers

X-rays

Metrology

Scattering

Etching

3D modeling

Model-based design

Back to Top