30 January 2024 Curvilinear data representation and its impact on file size and lithographic performance
Author Affiliations +
Abstract

As the industry is developing curvilinear mask solutions, some curvilinear postoptical proximity correction (OPC) masks have been reported with file sizes in excess of 10 times the corresponding Manhattan postOPC files, which can greatly impact mask data storage, transfer, and processing. Some file size reduction utilizing spline fittings has been reported in mask postprocessing. However, from an OPC perspective, mask postprocessing is undesirable. In this study, we show that maintaining an adequate density of mask control points (MCPs) is key to achieving the desired on-wafer lithographic performance, regardless of whether the MCPs are connected by spline sections or piecewise-linear segments. Our results suggest that spline-based MULTIGON records (defined by the Curvilinear Working Group convened in 2019) may not offer clear lithographic performance or file size benefits. We will also offer some guidance for controlling piecewise-linear file size without compromising lithographic performance.

© 2024 Society of Photo-Optical Instrumentation Engineers (SPIE)
Jiuning Hu, Adam Lyons, Chris Spence, Kurt Wampler, Mahmoud Mohsen, Yen-Wen Lu, Rachit Gupta, Youping Zhang, Rafael Howell, Jiyoon Chang, James Moon, and Jun Ye "Curvilinear data representation and its impact on file size and lithographic performance," Journal of Micro/Nanopatterning, Materials, and Metrology 23(1), 011204 (30 January 2024). https://doi.org/10.1117/1.JMM.23.1.011204
Received: 15 August 2023; Accepted: 27 November 2023; Published: 30 January 2024
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Microchannel plates

Optical proximity correction

Lithography

Extreme ultraviolet

Deep ultraviolet

Metals

Solids

Back to Top