This paper discusses the defect density detection and analysis methodology using advanced optical wafer inspection capability to enable accelerated development of a DSA process/process tools and the required inspection capability to monitor such a process. The defectivity inspection methodologies are optimized for grapho epitaxy directed self-assembly (DSA) contact holes with 25 nm sizes. A defect test reticle with programmed defects on guide patterns is designed for improved optimization of defectivity monitoring. Using this reticle, resist guide holes with a variety of sizes and shapes are patterned using an ArF immersion scanner. The negative tone development (NTD) type thermally stable resist guide is used for DSA of a polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymer (BCP). Using a variety of defects intentionally made by changing guide pattern sizes, the detection rates of each specific defectivity type has been analyzed. It is found in this work that to maximize sensitivity, a two pass scan with bright field (BF) and dark field (DF) modes provides the best overall defect type coverage and sensitivity. The performance of the two pass scan with BF and DF modes is also revealed by defect analysis for baseline defectivity on a wafer processed with nominal process conditions.
Extreme ultra-violet (EUV) lithography has been planned for high-volume manufacturing (HVM) in 2014 for critical
layers of advanced nodes in the semiconductor industry. Process and proximity correction (PPC) and verification is
necessary in order to compensate various optical and other process effects in EUV lithography. Since the long-range
flare, mask shadowing effect, and lens characteristics all vary throughout the whole mask range, position dependent PPC and verification may be needed for accurate mask pattern synthesis. In this paper, we will study the PPC accuracy. The PPC flow uses a single PPC kernel set and a full-mask flare map for long-range flare correction. The lithography model is calibrated in accordance with this PPC flow. The lithography model is used to perform full-mask correction for the 10nm node test chip mask for BEOL/FEOL short loop flow development. The optimized full-mask patterns were placed on the mask and printed using a 0.25 NA EUV scanner at various focus and dose conditions. Printed wafers were measured by a CD-SEM and compared to post-PPC verification results.
KEYWORDS: Calibration, Photomasks, Extreme ultraviolet, Extreme ultraviolet lithography, Semiconducting wafers, Lithography, Finite element methods, Metals, Ultraviolet radiation, Back end of line
Extreme ultra-violet (EUV) lithography is a promising solution for semiconductor manufacturing for the 1Xnm node and
beyond. Due to the mask shadowing effect and strong flare, process and proximity correction (PPC) is required for EUV
lithography even though the k1 factor is much larger than that in current 193nm immersion lithography. In this paper, we
will report a procedure of model calibration and full-mask PPC flow for EUV lithography. To calibrate the EUV model,
identical test structures are placed at various locations on the mask across the slit direction. Slit position effect, including
mask shadowing effect, will be investigated at different locations. The wafer is patterned with a 0.25 NA EUV scanner
and measured with CD-SEM for process evaluation and PPC model calibration. The EUV model is verified by wafer
measurements. A PPC flow with mask shadowing effect compensation and model-based flare compensation is
introduced to perform full-mask correction for the BEOL flow at 30nm HP L/S for the 16nm technology node. The slit
position effect on PPC is investigated through post-PPC verification.
Mask topography (3D) scattering has to be taken into account for a more accurate solution of optical proximity
correction (OPC) to meet the advanced Lithography patterning requirements. We report full-chip OPC and verification
with a fast mask 3D model. To compare to the conventional mask model with Kirchhoff approximation, we performed
lithography model calibration, OPC correction, and verification on a 40nm half-pitch BEOL metal layer using both
approaches. OPC accuracies of both models are evaluated by measuring the critical dimension (CD) data on the printed
wafer. OPC time with the fast 3D model is comparable to Kirchhoff model for the studied lithography configurations in
this paper. Process windows of post-OPC layout are compared for both approaches.
In a recent paper15, we presented a novel method for fully automated model-based generation and optimization
of sub-resolution assist features which, when placed on a contact layer photomask, minimize the variations in the printed
pattern with respect to focus change. Here we extend that methodology to improve the contrast of the light intensity in
addition to minimizing variations caused by focus change.
We present a model-based method of generating and optimizing sub-resolution assist features. Assist feature
generation is based on a focus sensitivity map derived from a cost function that minimizes the variations in the printed
pattern with respect to focus change. We also demonstrate a method to generate mask-friendly SRAF polygons from the
focus sensitivity map. After model-based placement, assist features and the main polygons are optimized together by
moving their edge segments. One of the optimization goals is that side-lobes and assist features should not print. This is
enforced by computing image on a two dimensional grid. We demonstrate the process window improvement for a
contact layer example.
We present a methodology for building through-process, physics-based litho and etch models which result in accurate and predictive models. The litho model parameters are inverted using resist SEM data collected on a set of test-structures for a set of exposure dose and defocus conditions. The litho model includes effects such as resist diffusion, chromatic aberration, defocus bias, lens aberrations, and flare. The etch model, which includes pattern density and particle collision effects, is calibrated independently of the litho model, using DI and FI SEM measurements. Before being used for mask optimization, the litho and etch models are signed-off using a set of verification structures. These verification structures, having highly two-dimensional geometries, are placed on the test-reticle in close vicinity to the calibration test-structures. Using through-process DI and FI measurement and images from verification structures, model prediction is compared to wafer results, and model performance both in terms of accuracy and predictability is thus evaluated.
Computational models used in process proximity correction require accurate description of lithography and etch processes. We present inversion of stepper and photoresist parameters from printed test structures. The technique is based on printing a set of test structures at different dose and defocus settings, and processing the CD-SEM measurements of the printed test structures. The model of image formation includes: an arbitrary pupil illumination profile, defocus bias, flare, chromatic aberrations, wavefront errors and apodization of the lens pupil; interaction of vector EM waves with the stack of materials on the wafer; and molecular diffusion in photoresist. The inversion is done by minimizing a norm of the differences between CDs calculated by the model and CD-SEM measurements. The corresponding non-linear least square problem is solved using Gauss-Newton and Levenberg-Marquardt algorithms. Differences between the CD measurements and the best fitting model have an RMS error of 1.63 nm. An etch model, separate from the lithography model, is fitted to measurements of etch skew.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.