Presentation + Paper
9 April 2024 Advanced processes in metal-oxide resists for high-NA EUV lithography
Cong Que Dinh, Seiji Nagahara, Kayoko Cho, Hikari Tomori, Yuhei Kuwahara, Tomoya Onitsuka, Soichiro Okada, Shinichiro Kawakami, Arisa Hara, Seiji Fujimoto, Makoto Muramatsu, Reiko Tsuzuki, Xiang Liu, Arame Thiam, Yannick Feurprier, Kathleen Nafus, Michael Carcasi, Lior Huli, Kanzo Kato, Alexandra Krawicz, Michael Kocsis, Peter De Schepper, Lauren McQuade, Kazuki Kasahara, Jara Garcia Santaclara, Rik Hoefnagels, Bruno La Fontaine, Ryan Miyakawa, Chris Anderson, Patrick Naulleau
Author Affiliations +
Abstract
One of the key steps in the pattern formation chain of extreme ultraviolet (EUV) lithography is the development process to resolve the resist pattern after EUV exposure. The traditional development process might be insufficient to achieve the requirements of ultra-high-resolution features with low defect levels. The aim of this paper is to establish a process to achieve a good roughness, a low defectivity at a low EUV dose, and capability for extremely-high-resolution for high numerical aperture (NA) and hyper-NA EUV lithography. A new development method named ESPERT™ (Enhanced Sensitivity develoPER Technology™) has been introduced to improve the performance of metal oxide-resists (MOR). ESPERT™ as a chemical super resolution technique effectively apodized the MOR chemical image, improving chemical gradient (higher exposure latitude (EL)) and reducing scums (fewer bridge defects). This new development method can also keep the resist profile vertical to mitigate the break defects. The performances of the conventional development and ESPERT™ were evaluated and compared using 0.33 NA EUV, 0.5 NA EUV, and electron beam (EB) exposures, for all line-space (LS), contact hole (CH), and pillar (PL) patterns. Using 0.33 NA EUV scanners on LS patterns, both bridge and break defects were confirmed to be reduced for all 32-nm-pitch, 28-nm-pitch, 26-nm-pitch LS patterns while reducing the EUV dose to size (DtS). In the electrical yield (1 meter length) test of breaks/bridges of 26-nm pitch structures, ESPERT™ reduced EUV dose while its combo yield was almost 100% over a wide dose range of 20mJ/cm². For CH patterns, in the case of 32-nm-pitch AEI (after etch inspection), EL was increased 7.5% up to 22.5%, while failure free latitude (FFL) was widened from 1-nm to 4-nm. A 16-nm-pitch LS pattern was successfully printed with 0.5 NA tool, while a 16-nm-pitch PL and an 18-nm-pitch CH patterns were also achieved with an EB lithography by ESPERT™. With ESPERT™, there was no pillar collapse observed for 12-nm half-pitch PL by 0.5 NA and 8-nm half-pitch PL by EB. With all the advantages of having a high exposure sensitivity, a low defectivity, and an extremely-high-resolution capability, this advanced development method is expected be a solution for high-NA EUV towards hyper-NA EUV lithography.
Conference Presentation
(2024) Published by SPIE. Downloading of the abstract is permitted for personal use only.
Cong Que Dinh, Seiji Nagahara, Kayoko Cho, Hikari Tomori, Yuhei Kuwahara, Tomoya Onitsuka, Soichiro Okada, Shinichiro Kawakami, Arisa Hara, Seiji Fujimoto, Makoto Muramatsu, Reiko Tsuzuki, Xiang Liu, Arame Thiam, Yannick Feurprier, Kathleen Nafus, Michael Carcasi, Lior Huli, Kanzo Kato, Alexandra Krawicz, Michael Kocsis, Peter De Schepper, Lauren McQuade, Kazuki Kasahara, Jara Garcia Santaclara, Rik Hoefnagels, Bruno La Fontaine, Ryan Miyakawa, Chris Anderson, and Patrick Naulleau "Advanced processes in metal-oxide resists for high-NA EUV lithography", Proc. SPIE 12957, Advances in Patterning Materials and Processes XLI, 1295705 (9 April 2024); https://doi.org/10.1117/12.3010207
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Extreme ultraviolet

Inspection

Etching

Lithography

Tin

RELATED CONTENT


Back to Top