Paper
9 June 2009 Trade-off between inverse lithography mask complexity and lithographic performance
Author Affiliations +
Abstract
Improvements in resolution of exposure systems have not kept pace with increasing density of semiconductor products. In order to keep shrinking circuits using equipment with the same basic resolution, lithographers have turned to options such as double-patterning, and have moved beyond model-based OPC in the search for optimal mask patterns. Inverse Lithography Technology (ILT) is becoming one of the strong candidates in 32nm and below single patterning, low-k1 lithography regime. It enables computation of optimum mask patterns to minimize deviations of images from their targets not only at nominal but also over a range of process variations, such as dose, defocus, and mask CD errors. When optimizing for a factor, such as process window, more complex mask patterns are often necessary to achieve the desired depth of focus. Complex mask patterns require more shots when written with VSB systems, increasing the component of mask cost associated with writing time. It can also be more difficult to inspect or repair certain types of complex patterns. Inspection and repair may take more time, or require more expensive equipment compared to the case with simpler masks. For these reasons, we desire to determine the simplest mask patterns that meet necessary lithographic manufacturing objectives. Luminescent ILT provides means to constrain complexity of mask solutions, each of which is optimized to meet lithographic objectives within the bounds of the constraints. Results presented here show trade-offs to process window performance with varying degrees of mask complexity. The paper details ILT mask simplification schemes on contact arrays and random logic, comparing process window trade-offs in each case. Ultimately this method enables litho and mask engineers balance lithographic requirements with mask manufacturing complexity and related cost.
© (2009) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Byung-Gook Kim, Sung Soo Suh, Byung-Sung Kim, Sang-Gyun Woo, Han-Ku Cho, Vikram Tolani, Grace Dai, Dave Irby, Kechang Wang, Guangming Xiao, David Kim, Ki-Ho Baik, and Bob Gleason "Trade-off between inverse lithography mask complexity and lithographic performance", Proc. SPIE 7379, Photomask and Next-Generation Lithography Mask Technology XVI, 73791M (9 June 2009); https://doi.org/10.1117/12.824299
Lens.org Logo
CITATIONS
Cited by 19 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Lithography

Inspection

Logic

Manufacturing

Optical proximity correction

Model-based design

RELATED CONTENT


Back to Top