Paper
24 April 2014 Actinic review of EUV masks: first results from the AIMS EUV system integration
Author Affiliations +
Abstract
The EUV mask infrastructure is of key importance for a successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks, actinic review of potential defect sites is required. To realize such an actinic review tool, Zeiss and the SEMATECH EUVL Mask Infrastructure consortium started a development programme for an EUV aerial image metrology system (AIMS™ EUV). In this paper, we discuss the status of the on-going system integration and show first results from the first light tests of the prototype tool.
© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Markus R. Weiss, Dirk Hellweg, Jan Hendrik Peters, Sascha Perlitz, Anthony Garetto, and Michael Goldstein "Actinic review of EUV masks: first results from the AIMS EUV system integration", Proc. SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, 90480X (24 April 2014); https://doi.org/10.1117/12.2046302
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Photomasks

Extreme ultraviolet lithography

Critical dimension metrology

Reticles

Scanners

Manufacturing

RELATED CONTENT

EUV lithography: NXE platform performance overview
Proceedings of SPIE (April 17 2014)
Status of the AIMS EUV development project
Proceedings of SPIE (October 01 2013)
Status of the AIMS(TM) EUV Project
Proceedings of SPIE (November 08 2012)
Insertion of EUVL into high-volume manufacturing
Proceedings of SPIE (August 20 2001)

Back to Top